CIMIRLY
Petite plateforme à l’usage de l’approche énergétique au sein des systèmes embarqués Bruno Allard, Dominique Tournier, Xuefang Lin-Shi Pôlé CNFM de Lyon (CIMIRLY) Dans le but de rapprocher des enseignements épars autour des systèmes embarqués, une plateforme a été conçue dans le but de servir un grand nombre d’objectifs pédagogiques sur un matériel unique. Un démonstrateur a été confié à 2 formations1 pilotes qui rendent un avis favorable. Cette plateforme présente des limitations du côté des performances en fréquence (50MHz maximum à cause des bus très capacitifs) et de l’accès restreint à des IPs matériels mais offre un compromis acceptable pour la découverte des aspects matériels, logiciels et énergétiques. Le pôle de Lyon du CNFM fournit des moyens à des formations autour des systèmes embarqués. Chaque formation sélectionne le support matériel répondant au mieux à ses objectifs pédagogiques. Une demande, en 2008, a concerné la mesure de l’énergie consommée par un système embarqué pour mettre cette grandeur en relation avec l’activité logicielle. L’absence d’offre commerciale a conduit à lancer la réalisation d’une plateforme ad-hoc. Finalement plusieurs formations se sont déclarées intéressées par une telle plateforme mais sous certaines contraintes de cahier des charges. La plateforme propose l’éclatement d’un système embarqué typique, en sous-systèmes, programmables indépendamment et dont l’alimentation énergétique est instrumentée et peut-être gérée dynamiquement. La figure 1 reprend le synoptique de la plateforme où chaque bloc digital est supporté par un FPGA avec un système d’alimentation propre et l’instrumentation nécessaire pour suivre cet aspect. Des périphériques analogiques peuvent être interfacés mais la solution retenue est la communication par un bus série vers le périphérique.
Fig. 1 : Synoptique de la plateforme instrumentée La figure 2 montre la carte versatile permettant le déploiement d’un cœur de processeur, une mémoire Flash, un périphérique de communication … Les composants ne sont reportés qu’en fonction des besoins. Deux ports JTAG sont implémentés pour la configuration du FPGA et le débogage d’un cœur de processeur par exemple. Les lignes de bus sont disponibles en périphérie (connecteurs 6, 7). L’alimentation est une carte dédiée permettant la remontée d’une image du courant avec une bande passante de 120MHz. Deux régulateurs de tension permettent de mettre en œuvre des techniques comme le DVFS (dynamic voltage and frequency scaling). La mémoire (figure 3) répond à la même philosophie.
1 OT SETRE : Option Transverale « Systèmes Embarqués Temps-‐Réels », INSA-‐Lyon
Département INSA-‐GE : TP de tronc commun en Automatique P5
CIMIRLY
Fig. 2 : Carte supportant un sous-système
Fig. 3 : Carte supportant la mémoire RAM (et/ou SRAM)
Les blocs sont interfacés par des bus (données 32bits, adresses 26bits, contrôle 11bits), euxmêmes instrumentés vis-à-vis de la consommation énergétique au niveau des drivers de bus. Les lignes d’adresse sont d’ailleurs reconfigurables. La figure 4 illustre une combinaison de cartes pour construire un système embarqué minimal (processeur micro-blaze, 1Go de Flash programme (!), 4Mo de mémoire SRAM 32bits, une carte périphérique particulière).
Fig. 4 : Exemple de « composition »
P5
CIMIRLY
Fig. 5 : Carte « périphérique » particulière La figure 5 illustre une carte récemment développée pour le test d’un ASIC de commande digitale. Ce périphérique est prévu en lien avec un DSP de traitement multimédia. Le test est mis en œuvre avec une combinaison de système embarqué minimal. Les bus sont physiquement propagés, ce qui permet de compléter le système embarqué au gré des besoins. L’ASIC de commande digitale sert un régulateur de tension découpant l’énergie de la batterie à 200MHz (bas gauche, figure 5). En tant que telle, cette carte ASIC servira à des travaux dirigés de tronc commun d’école d’ingénieur, en Automatique.
Une formation lyonnaise à propos du logiciel embarqué s’est appropriée le principe de la plateforme. Elle propose aux étudiants une vision couplée entre les ressources logicielles et la consommation des routines logicielles vis-à-vis d’un applicatif donné.
Fig. 6 : Ecran d’une mise en relation entre activité logicielle et consommation d’énergie. Une autre formation relative à l’enseignement d’architectures digitales a testé l’implémentation d’une stratégie de DVS (figure 7).
P5
CIMIRLY
Fig. 7 : Exemple de mesure (de tension) lors de l’expérimentation de stratégie DVS pour la gestion d’énergie.
L’avenir de cette plateforme « maison » est son utilisation par les autres formations initialement intéressées. Le coût est minimal et la flexibilité très grande. La Région Rhône-‐Alpes soutient le projet du déploiement d’une telle plateforme avec un accès libre par internet.
P5
CEMIP
Apprentissage par problèmes pour l’enseignement de la simulation mixte (VHDL-AMS) Auteurs : Gilles Amendola (
[email protected]), Anne Exertier (
[email protected]), ESIEE Engineering, CEMIP. Contexte En dernière année de formation ingénieur, les étudiants d’ESIEE Engineering suivent une unité sur la conception de systèmes mixtes (analogiques / numériques). Une partie de cette unité est consacrée à la modélisation haut niveau et à la validation « bottom-up » en utilisant le langage VHDL-AMS. Cet enseignement était auparavant dispensé sous une forme pédagogique classique : cours/TD/TP. Bien qu’intéressés par le thème, les étudiants étaient peu réceptifs au cours magistral qui présentait la problématique et le langage au travers d’exemples et d’exercices concrets. En réponse à ce constat, nous avons opté pour une pédagogie active : l’apprentissage par problèmes (APP). Déroulement Un « apprentissage par problèmes » (APP) consiste à poser un problème ouvert (qui ne comporte pas toujours de solution unique) à des équipes de 3 ou 4 d’étudiants qui n’ont pas suivi de cours sur le sujet étudié. Le processus consiste en : Analyse du problème Remise à jour des connaissances antérieures Apprentissage autonome du contexte Travail collaboratif pour résoudre le problème et stimulation par le(s) encadrant(s). Il est donc nécessaire de fournir un sujet comportant des indications méthodologiques et dans notre cas des tutoriels. Cette unité s’adresse à des étudiants qui ont déjà été initiés à la conception de circuits intégrés analogiques (architectures basses fréquences, simulation Spectre, …) et numériques (VHDL, simulation avec ModelSim …). On consolide ces compétences pour aborder la simulation mixte. En effet, au travers d’une première série de problèmes, les étudiants analysent les différences entre simulateurs électrique et logico-temporel pour comprendre la difficulté des interactions temps continu et temps discret. Exemple de question posée sur le simulateur électrique Réflexion sur le pas de calcul L’analyse transitoire (dite "TRAN") permet d’obtenir un résultat en fonction de : •
la fréquence,
•
du temps,
•
d’une autre tension, ...
Analysez les netlist(s) ci-après et déterminez le schéma électrique associé. Analysez les réponses du simulateur dans les deux cas :
P13
CEMIP
Quelles sont les différences essentielles? Quelle est la durée de simulation (dans les deux cas)? Quel est le temps CPU ? Quels sont les pas de simulation dans les deux cas. Comment le simulateur le détermine-t-il?
// View name: schematic V0 (net1 0) vsource dc=1 type=sine sinedc=0 R0 (net3 0) resistor r=1K R1 (net1 net3) resistor r=1K simulatorOptions options reltol=100e-6 vabstol=1e-6 iabstol=1e-12 temp=27 \ tnom=27 homotopy=all limit=delta scalem=1.0 scale=1.0 \ compatible=spice2 gmin=1e-12 rforce=1 maxnotes=5 maxwarns=5 digits=5 \ cols=80 pivrel=1e-3 ckptclock=1800 sensfile="../psf/sens.output" \ checklimitdest=psf tran tran stop=1m errpreset=conservative write="spectre.ic" \ writefinal="spectre.fc" annotate=status maxiters=5 finalTimeOP info what=oppoint where=rawfile designParamVals info what=parameters where=rawfile primitives info what=primitives where=rawfile subckts info what=subckts where=rawfile saveOptions options save=allpub
Cas1 tran: time = 25 us
(2.5 %), step = 10 us (1 %) . . . tran: time = 925 us (92.5 %), step = 10 us (1 %) tran: time = 975 us (97.5 %), step = 10 us (1 %) Number of accepted tran steps = 103. Initial condition solution time = 0 s. Intrinsic tran analysis time = 10 ms. Total time required for tran analysis `tran' was 10 ms. -------------------Aggregate audit (9:41:27 AM, Wed Sep 30, 2009): Time used: CPU = 70 ms, elapsed = 1 s, util. = 7%. Virtual memory used = 3.47 Mbytes. spectre completes with 0 errors, 0 warnings, and 8 notices.
// View name: schematic V0 (net1 0) vsource dc=0 type=sine sinedc=0 ampl=1 freq=100M R0 (net3 0) resistor r=1K R1 (net1 net3) resistor r=1K simulatorOptions options reltol=100e-6 vabstol=1e-6 iabstol=1e-12 temp=27 \ tnom=27 homotopy=all limit=delta scalem=1.0 scale=1.0 \ compatible=spice2 gmin=1e-12 rforce=1 maxnotes=5 maxwarns=5 digits=5 \ cols=80 pivrel=1e-3 ckptclock=1800 sensfile="../psf/sens.output" \ checklimitdest=psf tran tran stop=1m errpreset=conservative write="spectre.ic" \ writefinal="spectre.fc" annotate=status maxiters=5 finalTimeOP info what=oppoint where=rawfile designParamVals info what=parameters where=rawfile primitives info what=primitives where=rawfile subckts info what=subckts where=rawfile saveOptions options save=allpub
Cas2 tran: time = 25 us
(2.5 %), step = 500 ps (50 u%) . . . tran: time = 925 us (92.5 %), step = 500 ps (50 u%) tran: time = 975 us (97.5 %), step = 500 ps (50 u%) Number of accepted tran steps = 2000000. Initial condition solution time = 0 s. Intrinsic tran analysis time = 16.62 s. Total time required for tran analysis `tran' was 16.62 s. ------------------------Aggregate audit (9:58:26 AM, Wed Sep 30, 2009): Time used: CPU = 16.7 s, elapsed = 502 s (8m 22.0s), util. = 3.33%. Virtual memory used = 3.47 Mbytes. spectre completes with 0 errors, 0 warnings, and 8 notices.
Ces questions poussent à s’intéresser à la syntaxe utilisée pour la netlist, et à comprendre pourquoi et comment le simulateur réduit son pas de calcul lorsque les signaux varient « rapidement ». De part notre expérience nous avons constaté que les élèves ne se sont jamais posés ce genre de question. Nous essayons par là de nous extraire modestement du « je clique sans savoir ». Les étudiants découvrent le VHDL-AMS au travers de livres, de sources Internet et d’un document de quelques pages fourni par les enseignants. Ayant déjà utilisé le VHDL, ils doivent apprendre les connaissances spécifiques à la modélisation AMS : instructions simultanées, attributs (dot, integ, slew, ramp, above, ltf, …). Ils mettent ensuite en application ces connaissances pour décrire de petits circuits électriques : par exemple un filtre décrit sous via une fonction de Laplace, soit via une modélisation comprenant résistance et condensateur (voir Figure 1).
P13
CEMIP
Figure 1
L’objectif est de vérifier l’acquisition des notions de terminal et quantité, la création de programme de test et surtout la compréhension du critère de solvabilité. Au passage les étudiants approchent deux types de modèle dont l’usage sera différent. L’un est basé sur une traduction de la représentation mathématique du filtre et les paramètres en sont les « fréquences de coupure » (pôles, zéros). L’autre décrit le circuit électrique et aura pour paramètres les valeurs des condensateurs C1, C2 et de la résistance R. Ils analysent ensuite des modèles erronés qui comprennent des erreurs de langage classiques en particulier liées aux interactions entre les temps discret et continu. Ils doivent identifier la cause du problème, et proposer une solution argumentée. Par exemple, on leur fournit une modélisation incorrecte d’un interrupteur : library ieee;
architecture bad of ideal_switch is
use ieee.electrical_systems.all;
quantity v12 across i12 through n1 to n2;
use ieee.std_logic_1164.all;
begin
entity ideal_switch is
if cmd ='1' use v12 == 0.0;
port (
else i12 == 0.0;
terminal n1,n2 : electrical; signal cmd : in std_logic end entity;
end use;
);
end architecture;
Le signal de commande de l’interrupteur est numérique (évolution évènementielle). Comme il influe sur des quantités analogiques, il est impératif de signaler au simulateur la discontinuité via l’instruction : break on cmd;. Cette omission assez courante chez les débutants conduit aux résultats de simulation temporelle suivants :
Figure 2
Après avoir diagnostiqué le problème, les étudiants ajoutent l’instruction manquante et obtiennent les résultats attendus :
P13
CEMIP
Figure 3
Il leur est ensuite demandé de simuler les versions correcte et erronée de l’interrupteur avec le même programme de test (même signal de commande). Les étudiants doivent expliquer pourquoi les résultats de simulation sont alors cohérents pour les 2 interrupteurs, ce qui permet de vérifier que le concept est compris. Enfin un problème est articulé autour de la modélisation d’un convertisseur analogiquenumérique (CAN) algorithmique à recirculation sur 8 bits : application mixte par excellence. Quatre niveaux de modélisation sont abordés : CAN0 : modèle VHDL-AMS d’un CAN générique CAN1 : modèle VHDL-AMS d’un CAN à recirculation CAN2 : modèle VHDL-AMS d’un CAN à recirculation avec partitionnement analogique/ numérique (VHDL classique) CAN3 : partie analogique niveau transistor et partie numérique en VHDL (Cf. figure 4)
Figure 4
La partie numérique a pour rôle de fournir les sorties numériques en parallèle (via un registre série-parallèle) et de piloter l’acquisition des données. La cellule 1bit/étage comprend un comparateur (qui détermine la valeur d’un bit bi), un amplificateur de gain 2 à base de capacités commutées d’un latch sur b.
P13
CEMIP
Dans le schéma de la cellule 1 bit/étage, Vref1=1/4Vdd et Vref2= 3/4Vdd le signal d’entrée Ve est comparé à Vdd/2 ce qui détermine la valeur du bit b. D’autre part, on calcule une tension Vs de la manière suivante :
V ⎞ 3 ⎛ ⎞ ⎛ Vs = 2 × ⎜Ve − Vdd ⎟ si b=’1’ ou Vs = 2 × ⎜Ve − dd ⎟ si b=’0’ 4 ⎠ 4 ⎝ ⎠ ⎝ Cette tension Vs est ensuite réinjectée sur l’entrée et sert à calculer le bit suivant. Les étudiants comparent les durées (temps CPU) des simulations et la précision des résultats en fonction du niveau d’abstraction. CAN0 CAN1 CAN2 80 ms
90 ms
CAN3
1s 360 ms 1 min 33s 950 ms
Un modèle avec un haut niveau d’abstraction est rapide à simuler, il a pour objectif de vérifier le fonctionnement général du circuit. Plus on affine le modèle (en y introduisant des défauts de composants comme l’offset d’un amplificateur par exemple), plus le temps de simulation augmente mais les résultats sont également plus pertinents.
Figure 5
La figure 5 correspond à la simulation temporelle de CAN3 (description la plus proche de la réalité). Elle met en évidence des erreurs des non-linéarités intégrale et différentielle du convertisseur. Ces défauts proviennent des imperfections apportées par la description à base de transistors. : saturation des amplificateurs, offset, erreur de gain, injection de charges.
P13
CEMIP
L’objectif ici est d’illustrer le compromis précision / rapidité et les principes de la conception top-down Conclusion et retour d’expérience
L’enseignement d’un langage dans un cours risque de tourner à une description catalogue d’autant plus inefficace que les étudiants en dernière année sont exigeants. Les questions, posées lors de l’évaluation de l’enseignement, les plus en rapport avec notre propos sont les suivantes : 1) Auriez vous préféré un cours magistral ? Oui = 60%
non = 40%
2) Par rapport aux enseignements classiques vous considérez vous ? plus actifs = 70%
autant actif = 30%
Elles permettent de juger de la perception par les étudiants de cette pratique. Les questions sont toutefois sujettes à interprétations. Ainsi certains (ayant répondu non à la première question) ajoutent en commentaire qu’ils auraient souhaité un cours d’une heure pour « fixer » le cadre ou faire une synthèse… Dans la réponse à la seconde question nous retenons surtout qu’aucun n’a le sentiment d’avoir été moins actif (ce qui poserait alors un problème). Nous devons toutefois nous interroger sur le fait de savoir si cette perception est juste ou non. Pour cela nous devrons compléter ces premières questions par d’autres, permettent de préciser les choses. Notre perception en tant qu’enseignant est que la mise en situation dans une approche de type APP permet de les rendre plus actifs, et de leur permettre une mise en application quasi immédiate des concepts décrits dans les tutoriels. Elle oblige l’enseignement à construire ces tutoriels et problèmes autour des points clés à assimiler. D’autre part, l’interaction enseignantapprenant permet de percevoir très vite les incompréhensions, de corriger les erreurs et de relancer les équipes ou étudiants en difficulté.
P13
AIME
LUMELEC : de la photodétection au photovoltaïque, ou comment marier l’optique et l’électronique. Projet mené dans le cadre de l’Appel à Projets BQF-2010 Bonus Qualité Formation - Année 2010 Université de Toulouse 3 Paul Sabatier Philippe Arguel1, Jérémy Grisolia2, Frédéric Gessinn3, Jérôme Launay4, Hélène Béteille5 1 : Université Paul Sabatier – Toulouse 2 : INSA - Toulouse 3 : AIME - Toulouse 4 : IUT « A » Paul Sabatier – Toulouse 5 : N7 – Toulouse Téléphone : 05 61 33 68 09 Courriel :
[email protected] Thématique du projet : Associer l’optique et l’électronique pour caractériser et exploiter des flux lumineux dans le cadre d’applications diverses.
Il s’agit de mettre en place, au sein de l’AIME, un banc de mesure permettant aux étudiants de caractériser et d’exploiter les propriétés de composants optoélectroniques qu’ils auront eux-mêmes fabriqués en salle blanche. Cette démarche sera menée en vue d’applications dans divers domaines tels que la domotique, la surveillance environnementale, la conversion photovoltaïque, ... L’objectif du projet est donc d’amener les étudiants, à partir de leurs propres réalisations, à maîtriser des concepts relatifs à la photodétection pour les mettre en œuvre dans le cadre d’applications concrètes. Chaque année, les installations de l’AIME sont utilisées par une quarantaine de filières d’enseignement qui y trouvent tous les moyens techniques pour concevoir, réaliser et caractériser un ensemble de dispositifs électroniques ou des microsystèmes électromécaniques. Afin d’étoffer l’offre de formation de cette structure inter-établissement, et de répondre à une demande croissante de ses utilisateurs, un nouveau procédé technologique visant la réalisation de dispositifs optoélectroniques est en cours d’optimisation. Il s’agit de fabriquer, sur un même substrat de silicium, divers photodétecteurs destinés à être caractérisés et dont les performances feront l’objet d’une étude comparative en fonction de l’application visée. Ainsi, les contraintes liées à des applications dans des domaines aussi variés que la domotique, la biologie, l’environnement, la conversion photovoltaïque,… seront analysées afin de déterminer les caractéristiques du dispositif le mieux adapté à sa fonction. Pour cela, il est impératif de disposer d’un banc de caractérisation permettant d’accéder, à la fois, aux propriétés optiques et électroniques des dispositifs réalisés : c’est dans ce cadre que s’inscrit le projet. L’étude des performances des dispositifs réalisés par les étudiants reposera sur un banc de mesures dont les principaux éléments seront : - Une source de lumière blanche, collimatée, présentant un spectre proche du spectre solaire - Une série de filtres colorés permettant un échantillonnage spectral centré sur une dizaine de longueurs d’ondes - Une série de filtres neutres permettant le choix de la puissance avec une grande dynamique
P24
AIME
- Des supports de filtres « à barillet » pour une manipulation rapide, aisée et sûre - Deux lentilles convergentes et deux diaphragmes variables permettant de modifier la mise en forme initiale du faisceau - Les supports mécaniques nécessaires au positionnement relatif des différents éléments d’optique (mini-table perforée, supports à hauteur réglable) - Un puissance-mètre optique - Le matériel électronique de polarisation et de mesure (source de tension, oscilloscope, multimètre,…) Dans le cadre de la mise au point (en cours) du procédé de réalisation des nouveaux dispositifs photodétecteurs, et dans le souci de proposer à très court terme cette nouvelle offre de formation, la mise en œuvre du banc de caractérisation qui vient d’être décrit peut intervenir dès à présent. En effet, cet équipement permettrait d’associer de façon efficace, dans la même démarche, les aspects liés à la fabrication, au conditionnement et à la caractérisation des dispositifs visés. Cette nouvelle offre pourrait ainsi être proposée aux formations dans les tout prochains mois pour être suivie dès le début de la prochaine année universitaire. Autres partenariats envisagés Outre les formations locales fréquentant régulièrement les installations de l’AIME (IUP ICM, IUP ISME, M1 EMMOM, M1 Matériau, M2 CCMM, M2 ICEM, IUT, INSA, ENSEEIHT, ENSIACET,…) d’autres formations relatives à des domaines tels que la biologie, l’écologie, la physique de l’habitat, les énergies renouvelables,… sont fortement susceptibles de souhaiter s ‘impliquer dans ce projet. Retombées attendues du projet On peut attendre des retombées dans deux domaines principaux : - La contribution au développement de l’activité de l’AIME grâce à une nouvelle offre de formation pluridisciplinaire et inter-établissement. - L’initiation de collaborations avec le milieu industriel dans un secteur très évolutif et connaissant une croissance spectaculaire depuis quelques années. - Il s’agit d’un projet innovant, à l’échelle régionale, dont la mise en œuvre permettra de répondre aux attentes de nombreuses formations dans des domaines relevant essentiellement de la « green photonics » qui est aujourd’hui un secteur en plein essor.
P24
PLM
Apprendre à maîtriser des outils de caractérisations de dispositifs microondes à distance grâce au LAB-EN-VI : LABoratoire d’ENseignement VIrtuel : Nouvelles expériences pédagogiques expérimentales. Denis BARATAUD1, Guillaume NEVEUX1, Olivier TANTOT1, Danielle ROUSSET1, Philippe LEPROUX1 1
Université de Limoges, XLIM, UMR n°6172, 123 Av. A. THOMAS, 87060 LIMOGES Cedex, France
[email protected] : correspondant principal
Résumé Cet article présente et détaille la mise en œuvre d’un laboratoire d’enseignement virtuel (LABEN-VI) à la Faculté des Sciences et Techniques de Limoges. Ce laboratoire permet à des étudiants du Master Professionnel Architecture des Réseaux et Technologies Induites des Circuits de Communications (A.R.T.I.C.C.) d’avoir accès à des ressources à la fois logicielles et matérielles dans les domaines de l’optique et des microondes. Ce laboratoire dédié à des formations ouvertes à distance procure aux étudiants la possibilité de bénéficier d’une pédagogie innovante mise en œuvre au sein d’un Campus Virtuel CvTIC existant depuis plus de dix ans à l’Université de Limoges. Sont présentés dans cet article la mise en œuvre de ces ressources autour de manipulations utilisant des traceurs de carctéristiques I/V, des générateurs de signaux vectoriels et des analyseurs de signaux vectoriels très utilisés dans le domaine des microondes pour caractériser des dispositifs soumis à des signaux d’excitations modulés. Mots-clés : Instrumentation distante, mesure micro-onde par les TICE, ingénierie numérique. 1. Introduction Depuis septembre 2008, une nouvelle formation ouverte à distance a vu le jour au sein du Campus Virtuel CvTIC, il s’agit du Master Professionnel Architecture des Réseaux et Technologies Induites des Circuits de Communications (A.R.T.I.C.C.). Ce master prépare les étudiants aux métiers des télécommunications optiques et microondes de niveau ingénieur. Cette formation scientifique repose fortement sur l’enseignement expérimental incontournable pour les futurs ingénieurs. Compte tenu du public international de cette formation, public qui a fait le choix d’une formation à distance, les périodes de présentiel même courtes restent contraignantes et souvent difficiles à mettre en œuvre. La nécessité de pouvoir mettre à disposition ces appareils ces étudiants sans qu’ils aient l’obligation de venir sur site apparaît donc comme une évidence afin qu’ils puissent acquérir les pratiques expérimentales nécessaires à leur métier. Le LAB-EN-VI permet donc d’offrir cette capacité aux étudiants distants de disposer de ces appareils tout en bénéficiant d’un tutorat fort de la part des enseignants : ainsi la phase d’apprentissage souvent longue de l’utilisation complexe de ces appareils est rendue possible par ce LAB-EN-VI. Ce laboratoire est fondé sur l’utilisation des TICE (Technologie de l’Information et de la Communication dans l’Enseignement) qui ont montré leur intérêt dans l’enseignement supérieur [1]. Des premières expériences de pratiques expérimentales dans le domaine des microondes existent mais restent encore assez rares tant au niveau de l’enseignement pur [2] que de la recherche [3], [4].
P3
PLM
Dans cet article, le premier paragraphe décrira l’architecture choisie pour le LAB-EN-VI développé à l’Université de Limoges en mettant l’accent sur la capacité à offrir plusieurs solutions possibles d’accès à l’instrumentation. Le deuxième paragraphe présentera une application spécifique développée pour une formation au radiocommunications et plus spécifiquement à la génération et la réception de signaux modulés microondes. 2. Description architecturale du LABoratoire d’Enseignement Virtuel. Accès aux outils pédagogiques LAB-EN-VI L’étudiant distant du master Professionnel Architecture des Réseaux et Technologies Induites des Circuits de Communications (A.R.T.I.C.C.) peut avoir accès à la fois à une plateforme de formation développée sous moodle [5] ou au serveur d’application et donc au LAB-EN-VI après une identification unique selon un protocole d’annuaire. 2.1.
Figure 1. Identification unique à la plateforme de formation, au serveur d’application, et au LABEN-VI Ainsi l’accès aux ressources est restreint aux étudiants inscrits à la formation ou aux personnels autorisés et reconnus dans l’annuaire. Il nécessite pour l’étudiant de posséder un ordinateur avec une liaison internet de débit suffisant et d’un navigateur web standard. Les autres applications nécessaires lui seront fournies sur le serveur d’application.En se connectant à la plateforme de formation : http://www.cvtic.unilim.fr/, l’étudiant retrouve alors les modules classiques de formation avec les outils intégrés de discussion synchrones (causettes), asynchrones (forum) et les accès aux ressources synchrones (classes virtuelles de type « Adobe® Connect™ »[5]) ou asynchrones (tableaux blancs interactifs, vidéos, …). Grâce à cet identifiant, il accède aussi à un serveur d’applications qui permet d’avoir accès aux principaux logiciels scientifiques du domaine optique et microondes : Mathlab , Agilent ADS, HFSS, Labview. L’architecture simplifiée du LABEN-VI est montrée sur la figure 2 suivante :
P3
PLM
Service Commun Informatique Université de Limoges Étudiant distant Serveur Plateforme Moodle Pare-feu LAN
INTERNET
Annuaire Serveur virtuel d’applications Pare-feu
Laboratoire de recherche XLIM
Département Physique Faculté des Sciences et Techniques
VLAN VLAN LAB-EN-VI
Serveur de licences
Figure 2.
Architecture simplifiée du Lab-EN-VI
Ce laboratoire d’enseignement virtuel est fondé sur l’utilisation du protocole http avec des sécurités de type pare-feu à la fois au niveau du Service Commun Informatique (SCI) de l’Université de Limoges, du laboratoire de recherche XLIM qui gère l’accès aux licences utilisées dans le cadre du serveur d’application hébergé par le SCI. Au sein d’XLIM et du département de physique. D’un point de vue organisationnel, ce LAB-EN-VI requiert la présence d’un formateur/tuteur qui va expliquer les principes de base du fonctionnement des différents équipements. Ce formateur se trouve donc auprès des équipements pour les manipuler, réaliser les connexions et les configurations utiles. L’utilisation d’une classe virtuelle équipée d’appareils vidéo (Webcam) permet de délivrer le cours à l’ensemble du groupe de travail avec une connexion simultanée de plusieurs étudiants. Une fois que les principes de base ont été présentés, les étudiants apprennent à utiliser les principales fonctionnalités des instruments en en prenant le contrôle à distance. Ils apprennent alors à concevoir leurs propres interfaces pour piloter les équipements et récupérer les données qu’ils stockent sur le serveur d’application dans l’espace qui leur est réservé. 2.2. Accès aux outils pédagogiques LAB-EN-VI Pour accéder aux équipements du LAB-EN6VI, l’étudiant doit tout d’abord apprendre à gérer les communications avec les instruments. Pour cela, il peut utiliser l’outil Measurement &Automation Explorer qui lui est fourni par le serveur d’application (MAX). IL peut alors gérer plusieurs types d’interfaces comme montré sur la figure 3 suivante :
P3
PLM
Figure 3.
Gestion des communications avec les instruments à l’aide de MAX
Les instruments sont connectés via des interfaces standard (RJ45, boitier LAN/GPIB, série,…) et leur contrôle est obtenu à l’aide de différents bus : LAN (RJ45), GPIB (General Purpose Interface Bus)/IEEE 488.2. L’étudiant apprend alors à envoyer des trames Ethernet dans lesquelles les requêtes pour les instruments sont encapsulées. Sur la figure suivante, l’étudiant peut accéder directement au driver de l’instrument connecté au serveur d’application par une interface RJ45 sur le bus LAN : il peut alors lui-même apprendre à configurer l’instrument via une image virtuelle du panneau de face avant de l’instrument ici un Analyseur de Réseau vectoriel R&S® ZVL [7], [8].
Figure 3.
Exemple de pilotage d’un instrument grâce à une face avant virtuelle. P3
PLM
3. Exemple de réalisation pratique génération et acquisition de signaux modulés microondes. Description du banc de caractérisation de mesure de signaux modulés aux bornes de dispositifs non linéaires Un exemple d’application réalisée dans le cadre du master Professionnel ARTICC concerne la mesure d’intermodulation d’ordre 3 d’un amplificateur de puissance lorsqu’il fonctionne en régime non linéaire. Le banc de caractérisation est alors constitué : • d’un générateur de signaux modulé (SMBV100A) qui permet de générer une modulation d’amplitude à porteuse supprimée dont les fréquences et les amplitudes de porteuses et de modulation sont ajustables. Ce générateur envoie alors les signaux modulés dans l’amplificateur de puissance RF entièrement conçu par les étudiants dans un projet réalisé à distance à l’aide du logiciel ADS. • d’un analyseur de spectre (ZVL) qui permet de visualiser le spectre de sortie de l’amplificateur de puissance RF réalisé par les étudiants, • d’une alimentation triple sortie programmable (PPT3615) Ces trois instruments sont interconnectés grâce à ne interface LAN/GPIB comme le montre la figure 4 suivante : 3.1.
Service Commun Informatique Université de Limoges Étudiant distant Serveur Plateforme Moodle
Serveur CAS
Pare-feu LAN
Serveur virtuel d’applications
Département Physique Faculté des Sciences et Techniques
INTERNET
LAN Pare-feu
LAN
VLAN LAB-EN-VI
LAN/GPIB
PPT3615
Laboratoire de recherche XLIM
SMBV100A
ZVL RF IN
RF OUT
Amplificateur de puissance RF Réalisé par les étudiants
Figure 4.
Banc de mesure d’intermodulation d’ordre 3 d’un amplificateur RF dans le LAB-ENVI.
P3
PLM
Résultats expérimentaux et démarche pédagogique Les étudiants après avoir polarisé l’amplificateur et vérifié son point de fonctionnement correct (mesure du courant de drain sur l’alimentation programmable) doivent créer un instrument virtuel sous LABVIEW pour générer le signal modulé autour d’une porteuse à 2 GHz et dont la fréquence de modulation est égale à 100kHz. Ils envoient ce signal dans l’amplificateur. Ils créent un autre instrument virtuel pour mesurer le spectre du signal et l’évolution de l’intermodulation d’ordre 3 en fonction du niveau de puissance. Dans toutes ces étapes, ils sont aidés par le formateur/tuteur qui les guide et les encadre en permanence. Ce tutorat doit être fait en travail collaboratif. Puis les étudiants selon un calendrier bien précis peuvent accéder seuls aux instruments pour réaliser leurs mesures en faisant varier la fréquence de modulation. Une dernière démarche de travail collaborative est demandée aux étudiants pour qu’ils créent un rapport où apparait la comparaison de l’évolution de l’intermodulation d’ordre 3 en fonction du niveau de puissance et de la fréquence de modulation. Un exemple de face avant et de diagramme pour cette mesure est montré sur la figure 5 : 3.2.
Figure 5.
Exemple de la mesure d’intermodulation d’ordre 3 d’un amplificateur RF dans le LAB-EN-VI. P3
PLM
4. Conclusion Cet article a présenté le laboratoire d’enseignement virtuel (LAB-EN-VI) mis en œuvre à la Faculté des Sciences et Techniques de Limoges pour le Master Professionnel (A.R.T.I.C.C.). Il a montré à travers une description de l’architecture logicielle et matérielle, comment les étudiants de cette formation pouvait tout en étant distant se former à l’utilisation de matériels de mesure microonde. Les perspectives à donner à ce travail réside dans l’accès multiutilisateur simultané aux mêmes équipements d’un banc de mesure du LAB-EN-VI afin d’améliorer encore le travail collaboratif dans ce domaine purement expérimental. 5. Bibliographie [1]B. Albero, B. Dumont « Les technologies de l'information et de la communication dans l'enseignement supérieur : pratiques et besoins des enseignants. », Item sup, [2]D. Courivaud, « Accès Internet à un laboratoire d’électronique distant », Archive EduTice Education et Technologies de l’information et de la communication, 2004, http://edutice.archives-ouvertes.fr/edutice-00000740/en/ [3]B. Bayard,B. Sauviac, J. Fayolle, B. Allard, N. Gérard, « Projet WebAnalyzer Internet et l'instrumentation à distance », Technologies de l'information et de la communication dans l'Enseignement Supérieur et l'Entreprise, 2002, Référence HAL: ujm-00381015, version 1 [4]C. Gravier, J. Fayolle, B. Bayard, M. Ates and J. Lardon, « State of the Art about remote laboratories paradigms – foundations of ongoing mutations », International Journal of Online Engineering 4, 1, 2008, http://www.online-journals.org/index.php/i-joe/article/view/480/391 [5]http://moodle.org/ [6]http://www.adobe.com/fr/products/connect/ [7]http://www.rohdeschwarz.fr/www/dev_center.nsf/frameset?OpenAgent&website=fr&content=/www/dev_center.n sf/html/fr_zvl1 [8]J. Ganzert « Remote Control of R&S Spectrum and Network Analyzers via LAN. », Application Note.
P3
AIME
Formation pratique à la synthèse et l’intégration de nano-objets par diélectrophorèse pour des applications micro -nanoélectroniques J. BAREILLE3, R. CEOLATO 3, L. LAPLATINE 3, S. LACHAIZE1, J. GRISOLIA 1, J. CARREY 1, G. VIAU1, CH. DUPRAT2, F. GUERIN 2, C. CAPELLO2, C. ROUABHI2, F. GESSINN2, J.M. DORKEL 2 Affiliations: 1- Université de Toulouse - LPCNO/INSA, 2- AIME pôle CNFM de TOULOUSE, 3Département de Physique, INSA Toulouse Contact :
[email protected], Université de Toulouse LPCNO/INSA, 135, Av. de Rangueil 31077 Toulouse Cedex 4 INTRODUCTION : La synthèse de nanoparticules par voie chimique est une voie à la fois versatile et à faible coût pour observer les propriétés de la matière à l’échelle nanométrique. Dans des nanoparticules, des phénomènes nouveaux directement liés au confinement quantique et au fort rapport surface/volume sont attendus. Ceci permet d’envisager de nouvelles applications dans différents domaines tels que la nanoélectronique, l'optoélectronique mais aussi la détection chimique et biologique. Par exemple, les capteurs de gaz et les mémoires à base de nanoparticules semiconductrices et métalliques deviendraient beaucoup plus sensibles que leurs homologues actuels. Cependant, l'étude de leurs propriétés spécifiques et de leur exploitation dans des dispositifs fonctionnels « grand public » exige : - d’être capable de les synthétiser, et ce avec des tailles contrôlées et si possible inférieures à 20 nm, - de les organiser en assemblée sur des zones choisies d’un substrat donné, - d’être capable de les adresser électriquement afin de réaliser un dispositif fonctionnel. Dans ce contexte, nous proposons un procédé technologique pratique dont les objectifs sont de sensibiliser l’étudiant : - aux exigences et contraintes liées à la synthèse et à la manipulation de nanoparticules préparées par voie chimique (solutions colloïdales) (cf. paragraphe I), - à leur intégration de manière contrôlée en microélectronique (cf. paragraphe II), - à une utilisation concrète de ces nanoparticules dans une application. Il s’agira ici d’évaluer les dispositifs fabriqués pour une application en tant que capteurs de gaz (cf. paragraphe III). I-
Synthèse de nanoparticules
Deux types de nano-objets sont synthétisés dans ce T.P. : des nanoparticules d’Au et des nano-bâtonnets de WO3. Ces deux synthèses se font en milieu aqueux par des méthodes classiques de condensation (WO 3) ou de réduction (Au) de sels métalliques. I-1 Synthèse des nanoparticules d’Au: Les étudiants préparent une solution de nanoparticules d’or fabriquées selon la méthode de Turkevich [1] qui permet d’obtenir des nanoparticules d’or sphériques monodisperses, d’environ 12 nm de diamètre en suspension dans de l’eau. Le principe est une simple réduction de l’acide chloroaurique HAuCl4 par du citrate de sodium en excès, à reflux dans l’eau pendant 30 min. La solution rose obtenue contient des nanoparticules d’or stabilisées par des ligands citrate et de l’acide chloroaurique HAuCl 4 en surface.
1 P28
AIME
Figure 1 : Photo, schéma d’une solution colloïdale de nanoparticules d’or avec leurs ligands citrates et image TEM des nanoparticules déposées.
I-2 Synthèse de nanoparticules de WO3 : Pour la synthèse de WO3, plusieurs protocoles sont possibles en fonction des objets recherchés : des sphères, des plaquettes ou des bâtonnets. Nous décrivons ici la synthèse de nanobâtonnets. Dans un premier temps, l’acide tungstique H2WO4 (0.2 M) est préparé en passant une solution aqueuse de Na2WO4 à travers une résine échangeuse d’ions H+. La solution devient un gel jaune clair après 72h. 7mL (1.410-3 mol) sont liquéfiés par agitation mécanique suite à une dilution dans de l’eau déionisée. La solution est ensuite centrifugée à 5000 tr/mn pendant 5 mn, lavée à l’eau déionisée et centrifugée une seconde fois. Le mélange obtenu est séché à 45°C jusqu’à l’obtention d’une poudre jaune clair. La poudre composée d’acide polytungstique est dissoute à 40°C dans 12 ml d’eau déionisée avec des cristaux de Na2SO4 (6 g). Le mélange est ensuite mis à réagir à 180°C pendant 16h dans un autoclave en acier. La solution blanche obtenue est centrifugée pour collecter une poudre séchée à 45°C. Le matériau final obtenu est composé de bâtonnets agglomérés de WO3 de taille nanométrique (voir Figure 2) [2].
Figure 2 : Imagerie en microscopie électronique en transmission (MET) de nano-bâtonnets de WO3.
II-
Dépôt par diélectrophorèse
Dispositif expérimental et protocole de mesure: Afin de réaliser un dispositif à base de s nano-objets synthétisés, des électrodes d’or en forme de peignes interdigités sont d’abord réalisées par lithographie optique sur une couche de SiO 2 [voir Figure 3(c)]. Les nano-objets sont ensuite localisés entre les électrodes par diélectrophorèse. Cette technique est basée sur la force créée par un gradient de champ électrique alternatif sur des
2 P28
AIME
particules polarisables. La polarisation de la particule est induite par le champ électrique. En fonction du gradient de champ et de la fréquence de l’excitation électrique, les particules sont alors soumises à une force résultante attractive (diélectrophorèse positive) ou répulsive (diélectrophorèse négative) vis-à-vis des électrodes [3]. Le signe de la diélectrophorèse est lié à la dépendance en fréquence des permittivités diélectriques complexes des particules et du solvant. En fonction de l’action voulue, la fréquence doit donc être ajustée selon ces deux paramètres. Dans le cas présent, la mise en place de la technique nécessite un générateur de fonction Metrix GX 320 permettant de générer une tension sinusoïdale crêtes à crêtes Vcc = 20 V et jusqu’à 10 MHz, ainsi qu’un circuit inverseur. Une borne des peignes interdigités est connectée au générateur et l’autre au circuit inverseur. Un oscilloscope numérique Metrix OX6062 permet de vérifier la validité des informations affichées par le générate ur et de suivre le signal appliqué aux peignes en temps réel. Les peignes interdigités sont ensuite plongés face vers le bas dans une solution colloïdale [voir Figure 3a et 3b]. Dépôt de nano-bâtonnets de WO3 et de nanoparticules d’or : Pour le dépôt de nano-bâtonnets de WO3, les peignes interdigités ont été plongés dans une solution colloïdale fortement diluée avec une fréquence appliquée de 400 kHz. Le résultat est un dépôt préférentiel de nano-bâtonnets entre les peignes [voir Figure 4a].
5 m
Figure 3 : dispositif expérimental (a) système de préemption du TO8, (b) système de génération des signaux, (c) Image en microscopie optique des peignes interdigités.
Dans le cas des nanoparticules d’or, différentes configurations de polarisation des électrodes ont été testées grâce à un boîtier sélecteur. Il est constitué de plusieurs entrées pouvant être reliées à deux générateurs différents et de deux sorties affectées à chaque électrode des peignes permettant de sélectionner le type de signal choisi. Les étudiants obtiennent alors plusieurs configurations de dépôt et de localisation en fonction des conditions d’application des tensions et éventuellement du déphasage entre les électrodes [voir Figures 4b et 4c].
Figure 4 : Piégeage par diélectrophorèse de nano-objets avec des électrodes inter-digitées (a) dépôt de nanobâtonnets de WO3 entre les électrodes: Vcc = 20 V, f = 700 kHz, déphasage de , durée = 10 min, (b) dépôt d’une ligne de nanoparticules d’or entre les électrodes : Vcc = 20 V, f = 2 MHz, pas de déphasage, durée = 5 min, (c) dépôt de nanoparticules d’or sur un seul peigne d’électrodes : Vcc = 15 V, f = 2 MHz, déphasage de , durée = 5 min.
3 P28
AIME
Dans le cas de l’or, avec un déphasage de entre les 2 électrodes, le dépôt est asymétrique et très sélectif ; il est en outre bien homogène. La couleur bleutée pourrait cependant provenir davantage d’agrégats d’or plutôt que d’une couche compacte. III-
Application aux capteurs de gaz :
Les dispositifs à base de WO 3 sont ensuite testés en tant que capteur de gaz. Les mesures sont effectuées sur un banc de mesure dédié permettant de faire circuler dans une enceinte reliée à une petite pompe à membrane un mélange de différents gaz. La température de l’échantillon est contrôlée par l’intensité de l’éclairement d’une lampe halogène [voir Figure 5a]. La pression dans l’enceinte est contrôlée par débit de gaz injecté. Les gaz choisis pour la détection sont l’ammoniac (NH3, gaz réducteur) et le dioxygène (O 2, gaz oxydant) dont la mise en œuvre ne présente aucune difficulté. Les figures 5b et 5c montrent les mesures de résistance sur le dispositif avec WO3 lorsque celui-ci est exposé à un flux respectivement d’O2 et de NH3. Dans le premier cas, la résistance augmente ; dans le second, elle diminue. Ces résultats sont en bonne adéquation avec d’autres résultats sur ce type de capteur sous flux d’ozone [4]. En effet, il est bien connu que WO 3 est un oxyde métallique semi-conducteur de type n pour lequel la densité d’électrons présents est directement reliée à une sous-stœchiométrie en oxygène (sites vacants en oxygène pouvant aussi être interprétés comme des atomes de tungstène réduits). Comme l’oxygène est plus électronégatif que le WO3-x massif, le dioxygène adsorbé en surface des nano-bâtonnets capture les électrons (i.e. oxyde les atomes W initialement réduits), abaissant ainsi la densité d’électron en surface et donc la conductivité du dispositif. La réaction d’oxydation peut s’écrire : O2 + 2e- 2 Oadsorbé. Inversement, un gaz peut apporter des électrons en surface et ainsi augmenter la conductivité. Ceci se produit notamment avec NH 3 ou H2O, grâce aux paires libres d’électrons portées par les atomes d’azote ou d’oxygène qui peuvent ainsi transférer une partie de leur densité électronique au matériau. La température est bien sûr un paramètre clé dans cette application car elle a une influence significative sur la cinétique d’adsorption et de désorption des molécules de gaz. Ceci se répercute directement sur le temps de réponse du détecteur. Nos mesures montrent que la réponse de nos capteurs est optimale à 200°C en comparaison avec un fonctionnement à température ambiante.
Figure 5 : (a) Photo du banc de mesure de capteurs de gaz. Résistance du capteur pour (b) O2 à un flux de 0.8 L/min, et (c) NH3 à un flux de 0.9 L/min.
Le rôle d’un capteur n’est pas uniquement de détecter la présence d’un gaz et sa nature mais aussi d’évaluer sa concentration dans l’atmosphère. La figure 6a montre l’évolution des variations de résistance du capteur à différents flux de dioxygène.
4 P28
AIME
Figure 6 : (a) Changement de résistance lors de l’injection d’O2 à proximité du capteur en fonction du flux. Plus la concentration est élevée, plus la réponse est importante (noir : 0.1 L/min, violet : 0.2 L/min, cyan : 0.4 L/min, rouge: 0.6 L/min, vert : 0.8 L/min, bleu 1.0 L/min) ; (b) pente dR(t)/dt en fonction du flux d’O 2.
Plus le flux est élevé, plus l’élévation de résistance est rapide. Ceci est confirmé par la figure 6b qui donne la pente initiale de cette variation en fonction du flux. La valeur de résistance maximale augmente aussi avec le flux de gaz. Ainsi, nous disposons de 2 paramètres pour mesurer le flux d’O 2. Le temps de réponse est correct avec moins de 15 s pour des flux supérieur à 0,4 L/min. Conclusion: Le T.P. présenté dans cet article permet de sensibiliser les étudiants aux approches nécessaires à l’utilisation de nanoparticules dans des dispositifs : synthèse, intégration et mesure. Le procédé de diélectrophorèse présenté permet d’intégrer des nanoparticules au sein de dispositifs. Il est à la fois facile à mettre en œuvre et générique puisque nous l’avons testé avec succès pour différents types de nanoparticules polarisables. Ce T.P. développé depuis 3 ans au LPCNO et testé en enseignement avec succès en 5 ème année au Département de Génie Physique sera mise en place très prochainement à l’AIME. Références: 1 - B. V. E NÜSTÜN AND J. T URKEVICH JACS, 1963, 85, 3317 2 - X. W. L OU , H. C. Z ENG , I NORG . C HEM . 2003, 42, 6169-6171. 3 -M ATTHIEU L AGOUGE , D ISCOVERING MEMS AND M ICROTECHNOLOGY , HTTP :// MATTHIEU . LAGOUGE . FREE . FR /, E LECTROSTATICS , 2009. 4 - K. A GUIR , C. L EMIRE , D.B.B. L OLLMAN , S ENSORS AND A CTUATORS 2002, B 84 1-5
5 P28
PACA
Le « Stockage de l'Information Numérique » ou comment aborder la physique par l'application S. Blayac1, S. Sanaur1, J. Kools2, P. Boivin3 1 Ecole de Mines de Saint Etienne Centre Microélectronique de Provence – 880 avenue de Mimet -13541 Gardanne -
[email protected] 2 Encapsulix SAS - Gardanne 3 ST Microelectronics - Site de Rousset Le cours présenté ici se propose d’aborder la physique sous un angle applicatif à travers la thématique du « Stockage de l’Information Numérique ». Les phénomènes fondamentaux y sont reliés à une problématique plus générale qui permet de les aborder « autrement ». 1.
Enseigner la physique par l’application
Ce cours de 15h est développé dans le cadre des enseignements de physique de première année du cycle Ingénieurs Civils des Mines de l’école des mines de Saint Etienne. Il fait partie d’un ensemble de cours électifs aux thématiques extrêmement variées et s’inscrit dans la continuité d’un cours de base en physique du solide. Le « Stockage de l’Information Numérique » a été choisi comme fil conducteur de cet enseignement. Plusieurs objectifs ont orienté la création de ce cours : • Illustrer de façon très concrète les phénomènes fondamentaux étudiés en cours, dont les applications peuvent parfois sembler lointaines pour les étudiants et leur proposer une vision « démystifiée » de la haute technologie. • Montrer que dans un monde de plus en plus « virtualisé » les problématiques matérielles restent essentielles et que leur maîtrise est stratégique. • A l’heure où internet met à disposition du plus grand nombre tous les savoirs de base, offrir une lecture originale pour susciter l’intérêt et valoriser l’apport des enseignants. Le cours se propose donc de répondre de plusieurs manières à une question simple : « où et comment sont stockés durablement les bits élémentaires supports de l’information ? ». Cette interrogation de départ implique la description du phénomène physique pour lequel on fait appel aux notions de base vues précédemment. De là découlent plusieurs questions liées aux modes de lecture et écriture, et au-delà, de durabilité et endurance des dispositifs, voire des systèmes, de stockage de l’information. Trois types de stockage sont donc traités : stockage optique (CD, DVD, laser) ; stockage électronique (mémoire EEPROM, Flash…) et stockage magnétique (disques durs, MRAM…). Au travers de l’intervention des industriels, les problématiques de fabrication sont abordées pour montrer comment les concepts sont traduits dans la réalité 2.
Les technologies du « Stockage de l’information Numérique » 2.1.
Généralités sur le stockage de l’information
L’objectif de cette partie introductive est de faire réfléchir l’étudiant sur les enjeux technologiques qui sous tendent l’évolution du monde numérique actuel suivant la problématique du stockage des données et lui faire prendre conscience que sans l’effort de réduction constante de la surface du bit stocké, la société de l’information telle que nous la connaissons n’aurait pu exister.
P21
PACA
Figure 1 : Evolution des capacités et des coûts du stockage de données depuis les années 1950
La courbe présentée Figure 1 montre qu’à un coût matériel de dispositif décroissant faiblement, la densité d’intégration a crû exponentiellement et le coût du Mo a donc décru en proportion. Cette introduction est enfin l’occasion d’introduire les types et les critères de choix des systèmes de stockage en fonction de l’aspect applicatif et donc du volume, de la densité, du temps d’accès et de la durabilité…
2.2.
Stockage « optique » : Disques optiques, diffraction et changements de phase, lasers et émission spontanée
Ce module aborde les deux dispositifs clés du stockage optique : le disque optique et la diode laser. La problématique de l’augmentation de la densité du stockage est présentée et reliée à la résolution de lecture du laser. On traite en particulier l’impact de la longueur d’onde sur cette résolution. En l’occurrence, le passage d’une diode laser émettant du rouge (Compact Disc) au bleu (Blu Ray Disc) a été déterminant et a dicté ’évolution technologique de la finesse du trait de gravure du compact disc de 1981 jusqu’au Blu Ray de 2005. Sur le plan théorique, le processus de changement de phase rendant les disques réinscriptibles est plus particulièrement détaillé. Enfin l’évolution vers le disque holographique permettant de stocker des volumes supérieurs au To au niveau moléculaire dans des polymères cristaux liquides est décrite. La deuxième partie traite du mécanisme fondamental de l’émission stimulée pour aborder la diode laser et son évolution vers le bleu avec l’apparition des matériaux à base de GaN. 2.3.
Stockage électronique : l’effet tunnel et la mémoire flash, les mémoires intégrées du futur
Le phénomène physique fondamental abordé ici est l’effet tunnel. On montre d’abord que l’apport de la mécanique quantique permet de comprendre en quoi un isolant présente une barrière de potentiel à probabilité de transmission non nulle aux épaisseurs nanométriques.
P21
PACA
Figure 2 : Courant tunnel dans une structure
Figure 3 : Vue en coupe des transistors à grille
métal/isolant/métal Ti/Al2O3/Ti en fonction de la tension
flottante d’une mémoire flash
appliquée avec identification des composantes
Cette connaissance permet de comprendre le diagramme de courant tunnel I(V) d’une structure métalisolant-métal en mettant en évidence des différentes composantes de types : directe, Fowler-Nordheim, Schottky, Frenkel-Poole et hopping (Figure 2). Cette connaissance fine des courants tunnels est essentielle ceux-ci gouvernent les mécanismes de chargedécharge de la grille flottante dans laquelle sont stockées les charges mémoire (Figure 3). La qualité de l’isolant tunnel influence en particulier le temps de rétention du point mémoire et constitue donc un des points de maîtrise technologique majeurs. C’est pour traiter les problèmes de perte en rétention sur les mémoires avancées que le concept de mémoires à nanocristaux a été récemment introduit. La description du développement industriel, et en particulier de la caractérisation de telles mémoires à l’échelle atomique fait l’objet de l’intervention d’un industriel de ST Microelectronics.
Figure 4 : Image TEM haute résolution d’une coupe de point mémoire à nanocristaux développée (source STMicroelectronics)
2.4.
Stockage magnétique : Disque durs et électronique de spin
Le module traitant du stockage magnétique est divisée en deux parties; la première traite des supports magnétiques et de densité d’intégration et la seconde des têtes de lecture/écriture.
P21
PACA
Figure 5: « Impression » magnétique laissée par une tête d’écriture sur un disque dur à différentes vitesses d’écriture
Sur le plan théorique, les fondamentaux du magnétisme sont abordés à travers l’étude des matériaux constituant les disques durs. Les problématiques de lecture et écriture sont ensuite présentées. La Figure 5 est l’image de « l’impression magnétique » laissée par une tête sur un disque dur en fonction des vitesses d’écriture. La densité du stockage suppose donc une optimisation du système en vitesse de lecture et sensibilité du capteur. Une voie d’optimisation de cette sensibilité consiste à utiliser l’effet de magnétorésistance géante dont la découverte et la maîtrise ont valu à A. Fert et P. Gruenberg le prix Nobel de physique 2007.
3.
Conclusion
Ce cours électif de physique orienté « application » agrège des éléments de physique relativement poussés autour de la thématique du « stockage de l’information numérique ». Il aborde à la fois les phénomènes physiques fondamentaux utilisés pour stocker de l’information de façon durable, par voie optique, électronique ou magnétique et les problématiques technologiques et industrielles afférentes. Avec un recul d’un an, les élèves ont montré à chaque fois un fort intérêt pour ce cours. Les concepts physiques sont en effet illustrés en permanence par des aspects applicatifs qui permettent de donner un sens stratégique à la progression du cours et d’impliquer l’étudiant dans cette démarche. Remerciements : Les auteurs remercient Lahouari Fares du laboratoire RCCAL de ST Microelectronics Rousset pour les images TEM haute résolution
P21
CIME
Formation pratique de lithographie ultime par nano-impression : de la conception à la mise en application au CIME-Nanotech Marceline Bonvalota,b, Jumana Bousseyb, Lamiss Louahadjb , Ahmad Bsiesya,b
(a) Centre Interuniversitaire de Microélectronique et Nanotechnologies (CIME Nanotech), Pôle CNFM de Grenoble, 3 parvis Louis Néel, 38016 Grenoble Cedex 1 (b) Laboratoire des Technologies de la Microélectronique, LTM CNRS UMR 5129, CEA/LETI/D2NT 17, av. des martyrs, 38054 Grenoble Cedex
Dans le cadre de sa mission de formation, le CIME-Nanotech de Grenoble propose à l’ensemble des filières utilisatrices de la salle blanche une dizaine de formations pratiques qui offrent un large spectre de découvertes pluridisciplinaires autour des micro-nanotechnologies. Pour rester compétitive et attrayante, et afin de s’adapter aux besoins nouveaux des filières, cette offre de formation doit continuellement s’enrichir en collant au rythme des innovations technologiques de pointe. Ainsi, la mutualisation des équipements de la salle blanche avec ceux de la Plateforme Technologique Amont (PTA), décidée en 2009 pour répondre aux besoins spécifiques de la recherche amont grenobloise en termes de ressources dédiées aux micro-nanotechnologies, permet aussi de créer des liens étroits entre l’enseignement et le milieu de la recherche et de sensibiliser les étudiants aux avancées technologiques les plus récentes. C’est dans le cadre de cette mutualisation qu’un bâti de lithographie ultime par nano-impression (NIL) mixte (UV et thermique) a été installé en salle blanche du Cime-Nanotech en Septembre 2010. Les équipes pédagogique et technique du Cime-Nanotech travaillent actuellement à la conception et la mise en œuvre d’une formation pratique de lithographie par nano-impression. Au-delà de son réel intérêt scientifique, cette formation cible un public varié de par les thématiques scientifiques qui peuvent y être développées (physiciens des matériaux, chimistes, biologistes…) et se veut parfaitement modulable pour s’adapter à tous les niveaux de formation (IUT, masters, ingénieurs, doctorants, formation continue). Nous proposons de présenter le contenu de cette formation pratique en veillant à mettre en valeur sa souplesse et son ouverture vers l’interdisciplinarité. Nous détaillerons également le phasage des différentes étapes de sa réalisation, depuis le choix des produits consommables jusqu’à la formation du personnel techniques et des futurs formateurs.
P29
MIGREST/PCM
Projet pédagogique Master Micro-Nano Electronique de Strasbourg – CRTC: Testabilité numérique-analogique sur circuit intégré mixte dédié A. BOZIER1,3, B. PRADARELLI2, L. LATORRRE2,4, P. NOUET2,4, R. LORIVAL2,4,5, L. HEBRARD1,3, F. BRAUN1, D. MULLER3 1
CNFM-MIGREST, 2Pôle CNFM de Montpellier, 3Master MNE-Strasbourg, 4LIRMM, 5CNRS
Cet article présente les résultats d'un enseignement de testabilité sur circuit mixte qui est le résultat d'une collaboration entre le Master Micro-Nano Electronique de l'université de Strasbourg et le Centre de Ressources de Test du CNFM à Montpellier. Cette collaboration a débuté il y a deux ans et a fait l'objet d'une première communication dans la précédente édition des Journées Pédagogiques du CNFM [1]. Les paragraphes I à III traitent des performances et des possibilités du circuit ainsi que d'une première expérience d'enseignement en Master MNE au cours de l'année dernière, puis, les paragraphes IV à VI traitent plus spécifiquement de la carte de test et du développement du programme de test par le CRTC de Montpellier.
I. Rappel du projet Le projet est né suite à la volonté du Master MNE de faire évoluer son enseignement de testabilité vers les circuits mixtes, analogique-numérique. Dans cette optique et en bénéficiant de l'expertise du CRTC, le circuit mixte en technologie CMOS 0,35µm qui servait de support aux différents enseignements du Master a été fabriqué avec l'aide financière du CNFM. Le circuit intégré -figure 1- est un système permettant de mesurer un champ magnétique de ±5mT sur une bande passante de 8kHz. Sa taille est de 2,182,28 mm² et il est alimenté sous 3,3V. Il est constitué d'un capteur à effet Hall, d'une chaîne d'amplification, d'un filtre antirepliement, d'un convertisseur analogique-numérique Σ∆ et d'un module de compensation d'offset -figure 2-.
Fig1: Circuit en technologie AMS 0,35µm
Il est possible de tester le circuit dans son ensemble ou par blocs indépendants. D'autre part, une chaîne de scan a été introduite dans la partie numérique du module de compensation. Ainsi la fonctionnalité et l'assemblage de ce circuit lui permettent d'être utilisé comme nouvelle plate-forme pédagogique pour les enseignements en test.
Les séances de travaux pratiques se déroulent dans la salle de CAO du CNFM-MIGREST à Strasbourg. Connectés sur une station du CRTC de Montpellier, les étudiants travaillent sur des comptes génériques. Des répertoires spécifiques à notre enseignement sont copiés pour travailler de manière plus efficace. Les séances de travaux pratiques sont découpées de la manière suivante: • 4 heures pour la préparation des fichiers de configuration ou « setup » : Les étudiants travaillent simultanément sur le compte alloué mais pas sur le testeur à proprement dit, c'est le travail « offline ». • 4 heures directement sur le testeur : Les étudiants passent à tour de rôle en binôme pour tester le circuit, c'est le travail « online ». II. Les essais électriques Dans cette section, nous détaillons les fonctions des différents blocs du circuit en indiquant les performances obtenues lors de mesures électriques sur carte PCB. Cette partie est à différencier de la partie test à proprement parler qui fait référence explicitement à l'utilisation du testeur. Le schéma du circuit est indiqué figure 2.
P2
MIGREST/PCM
Fig2: Schéma électrique du circuit intégré
L'élément sensible est un capteur à effet Hall en technologie CMOS [2] qui permet de convertir un champ magnétique dans la gamme ±5mT en un signal électrique de ±400µV d'où la nécessité d'une amplification globale de 2500 pour sortir un signal de ±1V en bout de chaîne analogique.
Fig3: Sortie analogique
Un bloc mixte appelé « compensation d'offset » permet de compenser l'ensemble des offsets aléatoires rencontrés dans la chaîne, dus au capteur et aux différents OTA. Un filtre anti-repliement de fréquence de coupure à 8kHz termine la chaîne analogique avant d'attaquer un convertisseur analogiquenumérique de type ΣΔ. La figure Fig3 montre le signal de sortie du circuit -signal du haut- pour un stimulus magnétique créé par une bobine excitatrice extérieure -signal du bas-.
La compensation d'offset
Fig4: a) Signal compensé b) Signal en sortie de la compensation
Fig5: a) Signal compensé b) Bit de fin de compensation
Avant de procéder à des mesures de champs magnétiques, il est nécessaire de compenser la chaîne analogique en amenant la tension de sortie Vx25 au voisinage de 0V. C'est le rôle de la compensation
P2
MIGREST/PCM
d'offset. Ce module est composé d'un comparateur, d'un bloc numérique obéissant à un algorithme dichotomique et d'un CNA pour convertir le mot binaire de 8 bit en son équivalent analogique entre ±1V. Les signaux en sortie de la compensation, Vcomp, et à compenser Vx25 -figure 2- sont donnés figure 4. De plus une entrée START permet de démarrer une compensation à n'importe quel moment et une sortie EOC -fin de conversion- indique la fin effective de la compensation sous forme d'un bit comme le montre la figure 5. La figure 2 montre sous forme synthétique les signaux obtenus en différents points du circuit ainsi que les performances mesurées lors de ces essais. Les travaux pratiques de test Le test numérique est réalisé sous la forme de deux séances de travaux pratiques de quatre heures chacune. La première séance est dédiée à la configuration des différents « setup » selon le flot de la figure 6 en mode « offline ». Lors de la deuxième séance, en mode «online», les étudiants passent en binômes, les uns après les autres, sur le testeur. Les étudiants travaillent sur le bloc numérique appelé logique de contrôle de la figure 2 qui est testable et accessible intégralement de l'extérieur via la chaîne de scan.
Fig6: Flot de test numérique
Fig7: Timing sous forme de fichiers
Le flot de test numérique est identique à celui utilisé pour les tests du 74ACT299. Les parties Pin Configuration et Levels sont données intégralement aux étudiants qui se concentrent sur la programmation des Timings en mode fichier -voir figure 7- et les vecteurs de test en mode graphique. Le test analogique, qui comprend aussi deux séances de quatre heures, est bâti de la même manière que le test numérique. La première séance porte sur les configurations analogiques du générateur de fonction noté AWG et de l'échantillonneur noté Digitizer. Les étudiants se basent sur le document technique proposé par le constructeur Verigy® pour faire les bons choix en termes de bande passante, de nombre de points d'échantillonnage suivant les signaux à injecter ou à récupérer. Lors de la seconde séance, les étudiants sont « online » pour le test analogique effectif du circuit. Pour cette étude, les étudiants travaillent sur le bloc Compensation d'Offset qui comprend le bloc numérique vu précédemment avec ses « setup » et le convertisseur numérique analogique 8 bit. Le but est de visualiser les tensions nommées Vcomp et Vx25 -voir figure 2- comme le montre la figure 8. III. Etude de la testabilité du circuit La testabilité d’un circuit quel qu’il soit nécessite d’être prise en compte dès la conception. Lors de la réunion initiale du projet, la stratégie de test définie fut d’architecturer le circuit de façon à ce que la chaîne instrumentale réalisée puisse être testée en totalité ou par blocs fonctionnels analogiques et/ou numériques indépendamment les uns des autres. De plus, une étude particulière de la testabilité du bloc de logique de contrôle a été effectuée pour déterminer si le bloc est totalement testable, c’est-à-dire si le taux de couverture issu de la simulation de fautes est de 100%. Pour tester la chaîne instrumentale dans sa totalité, il faut être capable d’émuler l’entrée de l’amplificateur de gain 100 -voir figure 2- et de faire l’acquisition du signal en sortie du ΣΔ, donc d’avoir accès à l’entrée du premier bloc de la chaîne et à la sortie du dernier bloc. Pour pouvoir tester chaque bloc, il faut avoir accès aux entrées et aux sorties de ces blocs. Ces
P2
MIGREST/PCM
spécifications nécessitaient donc de prévoir au niveau du boîtier final des broches multiplexées ou dédiées pour accéder (envoyer ou capturer un signal) à ces nœuds d’information du circuit. En raison de contraintes liées au boîtier utilisé (64 broches) et afin de limiter les signaux parasites pouvant potentiellement être injectés dans le circuit par ces points d’accès, la stratégie de test a été modifiée pour considérer les amplificateurs 100 et 25 comme un seul bloc.
Fig8: Signal de gauche Vcomp, signal de droite Vx25
L’étude de la testabilité du bloc de logique de contrôle a fait l’objet d’un Projet Industriel de Fin d’Etudes (PIFE) de l’école Polytech’Montpellier. Partant de la «netlist» du bloc de contrôle et en considérant un modèle de fautes en particulier (ici les fautes de collage), TETRAMAX® produit une liste de fautes pour lesquelles il génère en mode ATPG (Automatic Test Pattern Generation) les vecteurs de test (les valeurs à appliquer sur les entrées primaires qui permettront de propager ces défauts sur les sorties primaires) et délivre le taux de couverture. Ce dernier est une métrique permettant de mesurer l’efficacité d’un jeu de vecteurs donné et correspond au rapport suivant : TC =
Nb de fautes détectées Nb de fautes totales
Le taux de couverture du bloc logique étant initialement de 93.91%, l’approche conventionnelle pour d’améliorer la testabilité du bloc a été d’insérer une chaîne de scan. Cette opération a permis d’isoler les blocs combinatoires du circuit, de façon à les tester en tant que tels. Pour cela, il a été nécessaire de modifier les bascules du circuit en bascules « scan » et de les relier entre elles de manière à établir un accès série (registre à décalage). Un multiplexeur placé dans chaque bascule « scan » permet d’obtenir deux modes de fonctionnement pour la chaîne de scan : Le mode "chargement parallèle" ou mode "normal". Dans ce cas, la modification des bascules est transparente et le circuit conserve sa fonctionnalité initiale. Le mode "décalage" ou mode "test". La chaîne de scan est alors comparable à un registre à décalage permettant d’acheminer les vecteurs de test en série à travers les bascules. Les réponses du circuit sont capturées dans le mode de fonctionnement normal, puis sorties en série par l’intermédiaire du mode test. La figure 9 décrit la modification apportée à une bascule et la façon dont celle-ci s’insère dans le circuit. Les bascules scan sont connectées entre elles pour former la chaîne de scan. Cette chaîne possède une entrée (scan_in) et une sortie (scan_out). Le signal mode de fonctionnement permet de choisir le mode de fonctionnement de la chaîne de scan. Le mode "test" permet de charger les données de test et de décharger les réponses du circuit. Le mode "normal" est quant à lui utilisé pour le fonctionnement normal du circuit mais également pour récupérer la réponse du circuit à un vecteur de test. L’outil dc_shell a été utilisé pour insérer les bascules scan et générer une nouvelle netlist qui comporte deux entrées supplémentaires : test_si qui est l’entrée du registre à décalage (chaîne de scan). test_se qui est le signal de contrôle des multiplexeurs rajouté dans les bascules. P2
MIGREST/PCM
Fig9 : Description d’un circuit séquentiel muni d’une chaîne de scan
Fig10: Testeur Verigy 93000®
A partir de cette netlist, une nouvelle simulation de fautes a été réalisée avec TETRAMAX® qui a permis d’obtenir un taux de couverture de 100% et de générer un nouveau jeu de vecteurs de test qu’il faudra convertir au format testeur. IV. Vérification du circuit sur testeur industriel Testeur du CRTC Le pôle CNFM de Montpellier dispose d’un testeur industriel, le V93000 Pin Scale, de la société Verigy®. Il a été installé en 2006 dans les locaux du Centre de Ressources en Test -CRTC- de Montpellier lors de la mise en place d’un projet de recherche et d’un partenariat pour les formations de test avec Verigy®. Cet équipement permet de tester des circuits digitaux jusqu’à 64 broches et fonctionnant à une fréquence maximum de 3.6Gsps, ainsi que des circuits analogiques et mixtes conçus pour des applications audio et vidéo. Le testeur est piloté par un logiciel, SmarTest, dont les outils permettent de vérifier la fonctionnalité d’un circuit, ses caractéristiques électriques et temporelles, de rechercher la source d’un problème grâce aux outils de diagnostic et de le caractériser. Les données sont transférées au testeur par fibre optique. La photo -figure 10- représente le testeur du CRTC du pôle CNFM de Montpellier.
Fig11 : Cartes mère et fille
Fig12 :Flot de test implémenté
Carte de test Il est nécessaire de développer une carte de test spécifique pour chaque circuit testé. Cette carte d’interface entre le testeur et le circuit va permettre de router les signaux électriques générés par le testeur jusqu’au circuit sous test et de capturer les signaux sur les sorties afin de déterminer si la réponse du circuit est correcte. Pour limiter les coûts de fabrication et faciliter la vérification du programme de test, la carte de test réalisée par Strasbourg pour valider le circuit en laboratoire a été conçue de façon à pouvoir être facilement connectée avec la carte d’interface du testeur. Ce choix a ainsi permis de s’affranchir des contraintes liées au boîtier. Seule la vérification de la connectique entre la carte de validation et celle de test a été effectuée (7 connecteurs SMA pour envoyer/capturer des signaux analogiques de/avec le testeur, et une nappe digitale pour programmer les registres de contrôle et tester le bloc de contrôle par des stimuli générés par le testeur). La photo de la figure 11 montre la carte de validation connectée d’une part à la carte fille digitale (nappe) et d’autre part à la carte fille analogique (câbles SMA). P2
MIGREST/PCM
Développement du programme de test Afin que la distance ne pénalise pas le développement du programme de test, nous avons utilisé l’outil VNC (Virtual Network Connection) [3] permettant le partage de bureaux distants, nous autorisant ainsi de visualiser le flot de test en simultané sur les 2 sites (Strasbourg et Montpellier) et de «prendre la main» sur le poste distant. Le programme de test a été créé depuis Strasbourg tandis que la vérification s’est effectuée à Montpellier en présence des deux collaborateurs. Un signal électrique envoyé sur une broche du circuit par le testeur est la combinaison d’une information logique stockée dans la mémoire vecteur associée à cette broche, d’une information temporelle composée d’une forme d’onde associée à des fronts et d’une information électrique de type tension d’entrée ou de sortie en fonction de la nature de la broche. Définis pour chaque broche, ces signaux électriques sont la base des stimuli activant un circuit lors du test. Le développement d’un programme de test suit donc une chronologie d’étapes bien établies : l’assignation des broches du circuit aux canaux du testeur (pins configuration), pour chaque broche la définition des niveaux logiques (levels), puis la définition des formes d’onde et fronts associés (timing) et enfin, la création de vecteurs de test (vector). A partir de ces éléments de base, le flot de test décrit à la figure 12 a été généré. Le premier test vérifie la capacité du circuit à effectuer une compensation d’offset automatiquement. Le test Scan, de type structurel, vérifie l’implantation d’une chaîne de scan dans le bloc de logique de contrôle. Une fois la partie digitale vérifiée, ce sont ensuite les tests de la fonctionnalité du bloc CNA, de la compensation d’offset en mode manuel, du filtre anti-repliement et des amplificateurs (100 et 25) figure 2- qui sont effectués. Validation Cette phase a été facilitée d’une part par l’utilisation de circuits initialement testés en laboratoire dont les caractéristiques électriques et spectrales étaient déjà connues. D’autre part, l’usage de la carte de validation a permis de s’affranchir de tous les problèmes de contact entre le composant, le réceptacle, la carte de test et le testeur. La phase de validation s’est effectuée au CRTC en présence des deux collaborateurs. Grâce à leurs compétences complémentaires, leur connaissance parfaite du circuit et leur maîtrise du testeur, seuls deux jours ont été nécessaires pour vérifier le fonctionnement du circuit sur le testeur. V. Travail restant et perspectives - Conclusion Il reste encore quelques tests à implémenter ou à finaliser. Il s’agit notamment du test de scan dont la conversion du vecteur de test issu de l’ATPG (Automatic Test Pattern Generator) TETRAMAX® n’est pas terminée. D’autre part, concernant la chaîne instrumentale, la bascule à déclenchement en sortie du convertisseur sigma-delta n'étant pas fonctionnelle, il faudra attendre une nouvelle version du circuit intégré pour développer les tests de ce bloc. En conclusion, ce projet, qui a été supporté par le CNFM dans le cadre des aides à la mise en place de travaux pratiques innovants, est le succès d’une collaboration à distance permettant au CRTC et Master MNE de Strasbourg de bénéficier d’un nouveau support pédagogique pour l'enseignement du test de circuits mixtes analogique-numérique. Plus particulièrement, pour le CRTC, ce circuit représente la perspective de pouvoir mettre en place du test concurrent, c’est-à-dire de tester en parallèle plusieurs blocs qui ne font pas appel aux mêmes ressources matérielles du testeur. Références [1] A. Bozier, L. Hébrard, F. Braun, B. Pradarelli, L. Latorre, « Projet d'enseignement Master MNE Strasbourg – CRCT, JPCNFM’2008, Saint-Malo, 26-28 nov. 2008 [2] J.-B. Kammerer, L. Hébrard, V. Frick, P. Poure, and F. Braun, “Hall effect sensors integrated in standard technology and optimized with on-chip circuitry”, EJPAP, vol. 36, 2006, pp. 49-64 [3] Xiaolin Lu, “Construct Collaborative Distance Learning Environment with VNC Technology”, Semantics, Knowledge and Grid, 27-29 Nov. 2005, pp.:127-130.
P2
PMIPS
Photodétecteur UV aveugle à la lumière du jour à base de nanofils de GaN Andres de Luna Bugallo, Lorenzo RIGUTTI, Maria TCHERNYCHEVA, Gwenole JACOPIN, François H.JULIEN. Institut d’Electronique Fondamentale-UMR8622, CNRS Université Paris Sud XI 91405 Orsay cedex
S.T. CHOU,Y.T. LIN, P.H. TSENG, L.W. TU Department of Physics and Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University,Kaohsiung 80424, Taiwan, Republic of China
Email :
[email protected]
Résumé Ce travail expose la réalisation et les caractérisations d’un photodétecteur UV à base de nanofils de GaN contenant une jonction p-n. Les ensembles de nanofils verticalement alignés sur leur substrat sont encapsulés dans un polymère transparent et contactés sous forme des mesas. Les photodétecteurs présentent une réponse dans l’UV pour l’énergie supérieure à 3.3 eV et sont insensibles à la lumière du jour. La réponse maximale de 0.17 A/W a été mesurée à la température ambiante pour les photons d'énergie E=3.47 eV.
Dans cet article, nous présentons la réalisation et la caractérisation d’une photodiode à base d’ensemble de nanofils GaN comportant une jonction p-n verticalement alignés sur le substrat de silicium. Les caractérisations électriques de dispositifs montrent un comportement redresseur typique d’une jonction p-n. Les spectres de photocourant et de photovoltage ont été mesurés dans l'intervalle spectral de l’UV à l’IR. Ils montrent que la réponse spectrale est sélective pour les photons d’énergie E> 3.3 eV. L’influence de la température sur le voltage du circuit ouvert Voc a été étudiée.
1. Introduction
2. Processus technologique
Depuis plusieurs années, les nanofils de nitrures d’éléments III (GaN, AlN et leurs alliages) font l’objet de recherche scientifique intense pour leur grand champ d’applications. Différents composants à base de nanofils uniques ou d’ensemble de nanofils ont été démontrés comme des transistors à effet de champ, des diodes électro-luminescentes, des lasers pompés optiquement, des photodétecteurs, etc… [1]. En ce qui concerne les nitrures, l’énergie de leur bande interdite peut être accordée jusqu’aux très courtes longueurs d’onde UV (290nm) en utilisant les alliages AlGaN. Il est donc possible de fabriquer des photodétecteurs de l’irradiation UV aveugles à la lumière du jour. Le désaccord de maille important entre les nitrures et les substrats couramment utilisés (saphir, Si, SiC) présente un défi majeur pour le développement des photodiodes à base de couches minces de nitrures. En effet, la relaxation des contraintes entraîne la formation de dislocations qui peuvent avoir une densité de l’ordre de 109 cm-2, ce qui dégrade fortement l’efficacité du dispositif. Les nanofils émergent ainsi comme une solution attractive au problème de dislocations, car grâce à leur faible section transverse ils peuvent relaxer les contraintes par la surface latérale libre et éliminer les dislocations. En plus, l’épitaxie par jets moléculaires (EJM) permet l’intégration des nanofils de GaN sur les substrats bon marchés comme le silicium, ce qui réduit le coût d’élaboration. Il est possible de fabriquer des dispositifs à base de nanofils verticalement alignés sur leur substrat et tirer profit de leurs excellentes propriétés optoélectroniques. Ce types de structure sont des candidats pour une nouvelle génération de photodétecteurs à matrice de nanopixels.
La figure 1 présente les principales étapes de fabrication du photo-détecteur. Les nanofils ont été encapsulés dans le polymère hydrogène Silsesquioxane (HSQ) qui est étalé sur une tournette à une vitesse de 2200 tours / min (Fig. 1a). Les forces capillaires agissent de façon telle que l'épaisseur de la couche déposée converge naturellement à la hauteur nominale des nanofils (Fig. 1b). Le but de l'utilisation du matériau de remplissage est d'assurer l'isolation entre les nanofils et de fournir un support mécanique pour un contact supérieur. Un recuit a été effectué pour modifier les propriétés du HSQ afin de le durcir et rendre transparent dans la gamme UV [2]. Pour obtenir un accès électrique au sommets des nanofils et réaliser le contact supérieur, l'excès de polymère recouvrant les bouts des nanofils a été éliminé par gravure ionique réactive (Fig. 1c). Des mésas carrées ont été définies par la lithographie optique. Les dimensions des mesas sont d'environ 300 µm de côté. Deux étapes de gravure sont ensuite réalisées sur la région en dehors des Mesas : la première pour éliminer le polymère restant, la seconde pour graver le substrat et supprimer les nanofils. Cette deuxième étape de gravure expose le substrat, ce qui est exploité pour la réalisation d'un contact inférieur commun pour les dispositifs.
J2
PMIPS
a)
b)
c)
d)
Figure 1. Étapes de fabrication.
Un contact transparent consistant d’une couche de 250 nm d’Oxyde d'indium étain (ITO) a été déposé sur la partie supérieure des nanofils, suivi par un traitement thermique à 400 ° C pour réduire la résistance de l' ITO. Finalement un dépôt Ti/Au a été fait sur la couche de ITO et sur le substrat, laissant la partie centrale des mesas ouverte à la lumière incidente (Fig. 1d). La réalisation complète du dispositif est illustrée dans l’insert de la figure 2 qui montre une image de microscopie électronique à balayage (MEB) d’un photodétecteur. La Fig. 2 montre un zoom sur un coin de la mesa avec le contact au-dessus des nanofils, aussi bien que la gravure du substrat en dehors de la mesa qui permet d’avoir le contact inférieur.
température sous l’illumination UV (λ=360nm) et dans l’obscurité. Pour la puissance incidente sur les mesas (300µm x 300µm) de 1.6µW le dispositif produit un photovoltage de 250 mV. Pour les mêmes conditions d’éclairage, le photocourant pour la polarisation de -1.5V et à température ambiante est de 270nA, ce qui correspond à la réponse de détecteur de 0.17A/W. Cette valeur est similaire à la réponse obtenue par Wang [3], pour un photodétecteur basé sur une hétérojonction entre les nanofils d’InAs et un substrat Si. Dans les mêmes conditions, le facteur de photosensibilité, définie comme le rapport entre le photocourant et le courant d'obscurité Iph/Idark, est de 2.3 x 102 à la polarisation de -1.5V, indiquant une forte réponse à la lumière ultraviolette. Il faut aussi remarquer que les caractéristiques I-V sont insensibles à la lumière visible. Dans une configuration photovoltaïque, la tension du circuit ouvert Voc et le courant de court-circuit Isc sont les valeurs qui limitent la performance d’un dispositif photovoltaïque. La valeur de Voc diminue en augmentant la température comme le montre l’insert de la figure 3, ce qui est un comportement typique d'une jonction p-n.[4]
Figure 3. I-Vʼ s dans lʼobscurité et sous illumination, la flèche indique la descente en temperature 300K, 200K, 100K et 4K .Lʼinsert montre la dependance du Voc avec la témpérature.
3.2 Spectroscopie de photovoltage et photocourant Figure 2. Image MEB du photodétecteur.
3. Caractérisation 3.1 Caractérisation Electrique
Afin d’étudier les propriétés électriques des photodétecteurs, des mesures courant-tension (I-V) ont été effectuées en utilisant une source-meter Keithley K 2636 et une station sous pointes cryogénique. La figure 3 montre les caractéristiques I-V en fonction de la
Pour déterminer la plage spectrale de fonctionnement du photodétecteur, le photovoltage et le photocourant ont été mesurés dans le domaine du visible à l’ultraviolette. Une lampe Xénon et un monochromateur constituent la source monochromatique accordable, qui est focalisée sur l’échantillon. Le faisceau lumineux est modulé en amplitude par un chopper mécanique à une fréquence de 200 Hz, et les signaux de photocourant ou de photovoltage sont collectés à l’aide d’une détection synchrone à température ambiante.
J2
PMIPS
Photovoltage (mV)
35
T=300K
30 25 20 15 10 5 0 2.5
3.0
3.5
4.0
4.5
5.0
Energy (eV) Figure 4. ambiante.
Spectre
de
photovoltage
à
température
La fig 4 montre le spectre de photovoltage à circuit ouvert. Le signal de photovoltage démarre à partir de 3.3eV et atteint la valeur maximale à 3.46 eV , proche de l’énergie de la bande interdite du GaN. La réponse maximale du détecteur en mode photovoltaïque a circuit ouvert est de 28 x 103 V/W. Le spectre montre que la réponse est sélective en longueur d’onde, le détecteur ne réagit qu’à la lumière dont l’énergie est supérieure ou légèrement inférieure à la bande interdite du GaN. Ceci démontre que la réponse du détecteur est liée à l’absorption de la lumière dans les nanofils et pas dans le substrat Si. Un léger décalage du signal en dessous du gap du GaN peut être lié à l’existence d’états de queue de bande, mais on ne peut pas exclure un rôle de l’effet Franz-Keldysh lié au champ associé à la courbure des bandes aux surfaces latérales des nanofils [5]. Les spectres de photocourant ont été mesurés pour de différentes valeurs de tension appliquée (Fig. 5). Ils montrent la même forme spectrale que le spectre de photovoltage. Comme le présente l'insert de la figure 5, le signal de photocourant est négatif dans l'intervalle de polarisation Vb< -250 mV, et positif lorsque Vb > +250mV. La valeur de Vb pour laquelle le signal de photocourant change de signe est donc très proche de la valeur du photovoltage à circuit ouvert Voc =245 mV trouvée en analysant les caractéristiques I-V (Fig. 3).
Figure 5. Spectres du photocourant à température ambiante pour des différentes polarisations appliquées. Dans l'insert, le niveau de photocourant et de réponse pour une énergie E=3.47 eV en fonction de la polarisation appliquée.
Pour une jonction p-n dans le GaN la valeur de photovoltage à circuit ouvert Voc attendu est supérieure à la valeur trouvée de 245 mV. Cette différence peut être liée au faible niveau du dopage p, ce qui entraîne une diminution considérable de la valeur de la tension de diffusion de la jonction p-n. Ce paramètre va être amélioré dans les futures réalisations des photodétecteurs.
4. Conclusion Un photodétecteur à base d'un ensemble de nanofils GaN encapsulés dans une résine transparente a été démontré. Une méthode simple de fabrication consistant dans la planarisation de nanofils verticalement alignés a été développée. Les mesures électriques démontrent que le voltage de circuit ouvert diminue en augmentant la température. Le signal du photocourant montre une réponse spectrale dans l’UV et le dispositif est aveugle aux longueurs d’onde visibles. La performance du détecteur est limitée par l’activation du dopage p dans les nanofils.
Références
[1] Z. Fan, J. C. Ho, Z. A. Jacobson, H. Razavi, and A. Javey Large-scale, heterogeneous integration of nanowire arrays for image sensor circuitry. PNAS 105, (2008) 11066-11070. [2] Minjie Zhou, Haojun Zhu, Yang Jiao, Yangyan Rao, Lianmao Peng and Quan Li, Optical and Electrical Properties of Ga-Doped ZnO Nanowire Arrays on Conducting Substrates J. Phys. Chem. C, 2009, 113 (20), pp 8945–8947 [3] Wei Wei, Xin-Yu Bao, Cesare Soci, Yong Ding, Zhong-Lin Wang and Deli Wang. Direct Heteroepitaxy of Vertical InAs Nanowires on Si Substrates for BroadBand Photovoltaics and Photodetection. Nano Lett., 2009, 9 (8), pp 2926–2934. [4] AL Kuhaimi, S. A. Jpn. J. Electron Affinity Difference in CdS/Si Solar Cells. Appl. Phys. 1998, 37, 4850–4853. [5] Anna Cavallini, Laura Polenta, Marco Rossi, Thomas Richter, Michel Marso, Ralph Meijers, Raffaella Calarco, and Hans Lüth Defect Distribution along Single GaN Nanowhiskers Nano Lett., 2006, 6 (7), pp 1548–1551
J2
CCMO
Mise en œuvre d’une interface homme machine sur une plateforme de développement de systèmes embarqués Maël Chevanche1, Marc Dano1, Samuel Crand2 1 - Université de Rennes 1, Etudiants en Master 2 Conception et Technologies des Systèmes 2010-2011 2 - IETR, Université de Rennes 1, bat. 11B, 35042 Rennes
I. Introduction Ce travail a été mené dans le cadre d’un TER (Travail d’Etudes et de Recherche) en Master 1 Electronique et Télécommunications préparé à l’Université de Rennes 1. Les applications implémentées sur cette plateforme seront enrichies d’année en année par des étudiants de Master 1 et Master 2 Conception et Technologies des Systèmes. L’objectif de ce projet est d’appréhender une plateforme dédiée aux systèmes embarqués et d’y porter une application. Outre le fait que le développement de diverses applications sur cette plateforme soit assuré par des étudiants, celle-ci sera aussi un support pour l’enseignement. En effet, cette plateforme matérielle sera utilisée pour mettre en pratique les concepts et les méthodologies de conception de systèmes numériques embarqués et temps réel. Elle pourra aussi participer à la sensibilisation des étudiants de licence aux enjeux de la conception de systèmes embarqués principalement par le biais de son écran LCD tactile.
II. La plateforme
Figure 1 : La plateforme de développement de systèmes embarqués La plateforme utilisée dite Atelier Micro ARM-C Enseignement [1] est un ensemble permettant de développer des systèmes embarqués basé sur un microcontrôleur ARM avec une approche pédagogique. Cette plateforme comprend principalement : - une carte d’évaluation basée sur un micro-contrôleur ARM7/TDMI (Samsung S3C44B0) et diverses interfaces avec clavier et afficheur LCD tactile - une chaine logicielle avec compilateur C, debugger haut niveau (Embest IDE) et environnement (IDE) - une sonde JTAG pilotable par USB et Ethernet - un noyau µc/OS-II
P7
CCMO
III. La Carte d’évaluation La plateforme S3CEV40 est organisée autour d’un processeur ARM7 auquel est associé un certain nombre de périphériques d’entrées/sorties.
Figure 1 : Carte d’évaluation S3CEV40 Le Processeur ARM7 Le processeur ARM (Advanced RISC Machine) dispose d’une architecture RISC ou Complex RISC de type Harvard comportant trois niveaux de pipeline : - Recherche instruction : fetch, - Décodage instruction : decode - Exécution : execute Le codage des instructions s’effectue sur 32 bits ou 16 bits avec un jeu d'instructions de type Thumb permettant un gain de mémoire non négligeable. Le processeur ARM7 disponible sur cette carte est un S3C44B0X de chez SAMSUNG. Il fonctionne à une fréquence de 66 Mhz. Il comporte 37 registres de 32 bits (dont 16 visibles à un instant donné) et différents modes de fonctionnement: utilisateur, FIQ ou IRQ, abort ou undefined, superviseur ou système. L'interface mémoire est constituée d'un bus de transfert et de signaux de contrôles. - Bus de données bidirectionnel 32 bits - Bus d'adresses 28 bits (soit 256MB adressables) La représentation des données peut se faire sous trois formes - Word: mot de 32 bits, - Halfword : mot de 16 bits - Byte : mot de 8 bits Les données peuvent être enregistrées de deux manières différentes : - Big-endian : octet de poids fort en dernier - Little-endian : octet de poids faible en dernier Les Mémoires Cette carte d’évaluation dispose de 4 mémoires : - 2 Mo de mémoire flash 16 bits - 8 Mo de SDRAM 16 bits - 512 octets de EEPROM avec bus IIC - 16 Mo de Nand Flash (solid-state hard disk)
P7
CCMO
L’écran LCD L'écran LCD présent sur la plateforme, est monochrome. Il dispose d’un rétro-éclairage et permet d'afficher 16 nuances de gris. Sa résolution est 320*240 pixels pour une taille d'image de 9,6 cm. Cet écran est commandé par le contrôleur LCD de l'ARM7. La communication se fait par un bus parallèle sur 4 bits associé à des signaux de synchronisation. Une dalle tactile (TSP : Touch Screen Panel) de type résistive est associée à cet écran. Cette dalle tactile nécessite une calibration pour définir la zone utile et pour permettre la conversion des coordonnées de l’écran LCD. L'ARM7 n'étant pas équipé d'un contrôleur pour le TSP, le circuit de commande est réalisé en externe. Dans le cadre de ce projet, le travail a principalement consisté à maîtriser l’utilisation de l’écran LCD associé à sa dalle tactile et a permis de développer une application modeste mais qui ouvre de nombreuses perspectives de développement.
Le Clavier Le clavier est composé de 16 boutons organisés en matrice 4 x 4 et fonctionne en utilisant des interruptions lorsqu'une touche est activée. Les périphériques Cette carte d’évaluation dispose aussi d’un codec audio associé à une entrée microphone et une sortie audio, de deux ports série RS232, d’un bus I2C et d’un contrôleur Ethernet. La plateforme est, de plus, équipée de LED, d'un afficheur 8 segments, d'un contrôleur USB et d'un contrôleur LCD. Enfin, il y a aussi un bus IIS pour périphériques audio et une interface IDE ce qui permet d’envisager l’utilisation d’une carte compact flash.
IV. La sonde JTAG La sonde JTAG Embest UnetICE permet de programmer la mémoire flash. Elle est constituée : - d’un port JTAG (20 broches) pour la connexion à la plateforme. - d'un port Ethernet ou USB pour la connexion à l'ordinateur. - d'un bouton reset et d'un bouton d'alimentation. - d'un connecteur d'alimentation externe. - de trois leds indiquant l'état de l'émulateur (alimenté, activité et erreur).
V. La plateforme logicielle L’outil Embest IDE [2] for ARM est une chaîne logicielle complète permettant de développer des solutions logicielles embarquées basées sur la technologie ARM. Ce logiciel permet de disposer d’un environnement intégré (32-bit Windows-based C Integrated Development Environment). L’ensemble du développement logiciel est assuré par un outil unique. Son utilisation est simple et son interface utilisateur en fait un outil accessible rapidement à des étudiants pour développer des applications autour de la technologie ARM. Cet outil permet de gérer et de développer des projets tout en assurant la mise au point et le portage sur un processeur dédié.
P7
CCMO
L’environnement Embest IDE comprend : - Un éditeur syntaxique C/Assembleur - Un gestionnaire de projets - Un compilateur ARM - Un débogueur simulateur de code ARM - Un débogueur pilotant une sonde BDM/JTAG - Un outil de programmation de mémoire flash
VI. L’application développée L’application développée consiste à utiliser principalement la dalle tactile pour mettre en évidence certaines fonctions développées et démontrer l’intérêt d’utiliser ce type de plateforme à des fins pédagogiques. L’application vise à développer un écran virtuel de dimensions 4 fois supérieures à celles de l’écran LCD disponible sur la plateforme. L’interactivité avec l’écran via la dalle tactile permet d’une part d’activer des boutons et de se déplacer de manière continue sur cet écran virtuel. La gestion des boutons a permis de valider le concept de clavier non mécanique utilisant le LCD et la dalle tactile. Une phase de calibration de la dalle tactile est nécessaire. La calibration est réalisée au moyen de quatre points utilisés comme références. Les valeurs, minimum et maximum en horizontal et vertical obtenues après conversion analogique numérique correspondantes au contour de l'écran, sont extrapolées à partir de ces 4 points de calibration. Le principe de calibration est classique : une croix est affichée afin que l'utilisateur touche le point en question à l’aide du stylet. Ceci permet, à partir de 4 coordonnées, de définir les valeurs limites mesurées par l'ADC pour déterminer les contours de l'écran : Xmin, Xmax, Ymin et Ymax. Ces données nécessaires, permettent de connaître précisément le positionnement, le sens et la vitesse de déplacement du stylet. Le clavier est constitué de boutons affichés sur le LCD. Chaque bouton est représenté différemment suivant son état d’activation (appuyé ou non). Ils sont activés par l’utilisation d’un stylet. Un curseur sur la dalle tactile est implémenté et permet de suivre à chaque instant le déplacement du stylet.
Figure 3 : Clavier utilisant le LCD et la dalle tactile
P7
CCMO
Le déplacement dans l’écran virtuel, correspondant à 4 fois la surface du LCD est assuré par le stylet. L’utilisation du stylet permet de définir le sens de déplacement horizontal ou vertical dans l’écran virtuel. De plus, pour faciliter les manipulations, une fonctionnalité de calcul de la vitesse de déplacement du stylet a été développée permettant de fixer un seuil à partir duquel, le déplacement dans l’écran est effectif. Cette information de vitesse est tout simplement obtenue en connaissant les coordonnées initiales et finales du stylet ainsi que le temps de déplacement. La figure 4 illustre cette fonctionnalité, la figure 4-a représente le déplacement de la droite vers la gauche dans l’écran et la figure 4-b correspond à l’affichage d’un quart de l’écran virtuel. Cette image est placée dans une autre zone de la mémoire. L’image doit être au format BMP, redimensionnée et convertie en 16 niveaux de gris.
a) b) Figure 4 : Illustration du déplacement possible dans un écran virtuel 4 fois plus grand que l’écran réel
VII. Conclusion Ce premier projet utilisant cette plateforme dédiée aux systèmes embarqués a permis le développement d’une interface homme-machine élémentaire. L’objectif principal était de prendre en main la plateforme logicielle et matérielle tout en mettant en évidence ses possibilités. Cette plateforme doit permettre la mise en place de nouveaux enseignements classiques sous forme de travaux pratiques mais permettra surtout de proposer des projets nécessitant des connaissances diverses et dédiées à des étudiants de licence 3 au master 2. Il existe de nombreuses perspectives d’utilisation de cette plateforme. En effet elle permet aussi de développer des applications temps réel utilisant un noyau MicroC/OS-II.
VIII. Références [1] www.neomore.com [2] www.embedinfo.com/english/
P7
PMIPS
Microscopie à Force Atomique (AFM) en Master 2 Nanosciences Alexandre Dazzi, Université Paris-Sud, Laboratoire de Chimie Physique, PMIPS bâtiment 201-P2, Orsay
[email protected]
Introduction La spécialité de Master Nanosciences est ouverte aux étudiants depuis la rentrée 2010. Elle est cohabilitée par plusieurs établissements d’enseignement et de recherche d’excellence qui ont décidé de se regrouper pour proposer une offre de formation unique dans le domaine : l’université Paris Sud 11, l’Institut d’Optique Graduate School, l’Ecole Normale Supérieure de Cachan, l’Ecole Polytechnique, l’Ecole Centrale Paris, Supelec et l’Université de Versailles Saint-Quentin-enYvelines. Cette formation s’adresse aux étudiants ayant validé l’équivalent de 60 ECTS en physique, sciences des matériaux, sciences de l’ingénieur et chimie en France ou à l’étranger. Au sein de cette formation est proposé un enseignement de tronc commun articulé autour de la microscopie pour les nanosciences. Cet enseignement comporte des cours et des travaux pratiques, qui sont la composante forte du M2. Il existe deux parties distinctes en microscopie : la microscopie électronique (MEB, MET, etc.) et la microscopie de champ proche (STM, AFM). Tous les étudiants de la formation auront donc eu au minimum 6h de TP AFM. Nous avons également mis en place un module de microscopie avancée pour proposer aux étudiants d’autres techniques de microscopie champ proche (AFM pour la biologie, SThM, MFM, voir plus loin). La mise en place des travaux pratiques a été possible grâce aux crédits accordés par l’appel d’offre Nanoinnov soutenu par le CNFM. Le laboratoire de Chimie Physique qui accueille les travaux pratiques d’AFM a fourni le mobilier et les salles d’expériences. Le but des travaux pratiques de microscopie à force atomique est de former les étudiants de Master aux techniques de microscopie pour les nanosciences. Le microscope à force atomique est l’outil de microscopie champ proche le plus utilisé que ce soit dans les laboratoires pour des applications très spécifiques ou par les industriels pour l’analyse des surfaces par exemple. Ce microscope est assez facile d’utilisation et permet d’étudier n’importe quel type de surface. Les travaux pratiques sont donc articulés autour de deux phases, la première est de familiariser les étudiants avec les notions de force et topographie, la deuxième est d’utiliser le mode tapping pour visualiser et mesurer des objets nanométriques. En ce qui concerne le module avancé, Il comporte une partie de cours sur les techniques thermiques de mesure en AFM et le mode de fonctionnement des mesures magnétiques. Puis les étudiants auront 3 séances de 4h sur chaque type d’AFM (MFM, SThM, AFM pour la biologie).
P27
PMIPS
1) Déroulement des travaux pratiques du tronc commun Les travaux pratiques se déroulent sur deux séances de 3h chacune. Chaque séance comporte l’étude d’un mode de fonctionnement de l’AFM, la première concerne le mode de fonctionnement statique (mode contact) et la deuxième concerne le mode de fonctionnement dynamique (mode tapping).
A) Description du mode statique 1) Prise en main de l’AFM Les étudiants commencent leur apprentissage par l’optimisation des réglages du microscope. Ils effectuent eux-mêmes l’approche du levier vers la surface, puis découvrent les différents paramètres de contrôles du microscope en imagerie à force constante, comme les réglages des gains de la boucle d’asservissement, le réglage de la correction de parallélisme pour ensuite obtenir une image la plus proche possible de la réalité. En effet, nous insistons sur un point extrêmement important en microscopie de champ proche, c’est que l’image obtenue dépend fortement de l’utilisateur. Il est donc nécessaire de tester tous les paramètres pour vérifier que l’image sur l’écran n’est pas un artéfact, en visualisant par exemple systématiquement les images aller et retour, ou en étudiant le signal d’erreur. 2) Étude d’un CD et DVD Une fois que les étudiants ont acquis ces notions, ils changent d’échantillons et doivent étudier 2 types de surfaces. La première est une surface de CD, côté polycarbonate (plastique) et l’autre est une surface de DVD, côté métal. Ces deux surfaces sont issues de support pressés, c’est à dire que les données ont été fabriquées par un industriel (sous presse) et non pas avec un système de gravure optique (comme le DVD-R ou +R). Les étudiants doivent étudier les deux supports et chercher les différences. Les images 1 et 2 représentent respectivement des images d’un CD et d’un DVD.
Image 1 : Surface d’un CD
Image 2 : Surface d’un DVD
P27
PMIPS
Il apparaît nettement que la surface du DVD est plus dense en informations que celle du CD. En mesurant les dimensions des plots qui codent l’information et les distances entre les pistes, les étudiants doivent calculer le rapport de compacité, c’est à dire le gain surfacique entre un DVD et un CD et comparer ce résultat avec le gain correspondant à la taille mémoire (4,7 Go/0,7 Go). De plus en mesurant la hauteur des plots, ils doivent retrouver la longueur d’onde de la diode laser utilisée pour la lecture. Nous invitons les étudiants à également chercher comment fonctionne une tête de lecture. 3) Étude des courbes de forces Pour comprendre le fonctionnement d’un AFM, il est indispensable de comprendre une courbe de force. Cette courbe représente comment la force appliquée à la pointe va agir sur le levier du microscope. D’une manière générale, le levier se comporte comme un ressort dont la constante de raideur est très faible, de 0,1 N/m à 0,03 N/m selon les constructeurs. De cette manière, lorsqu’on applique une force sur la pointe, c’est le levier qui se déforme et non pas la surface ou la pointe. Tout se passe comme une compétition entre la raideur de contact entre la pointe et la surface et la raideur du levier. Dans la mesure où les échantillons étudiés sont assez rigides, il n’y a pas de dégradation de la surface et c’est la déformation du levier que l’on mesure. L’image 3 représente une courbe de force typique obtenue dans l’air.
Image 3 : Courbe de force sur silicium (k=0,1 N/m) On peut remarquer la présence d’un cycle d’hystérésis assez important (quelques nN) qui démontre ici la présence d’un film d’eau sur la surface. Dans la mesure où on travaille dans l’air, on constate qu’il n’est pas possible de travailler avec des forces attractives. Dès que la pointe s’approche de la surface, un ménisque se forme et précipite la pointe dans la surface. Dans ces conditions, le seul mode de fonctionnement en statique est le mode contact. Les étudiants doivent à partir de ce graphe représenter la forme du levier aux différentes zones de la courbe et déduire la valeur de la force de capillarité.
P27
PMIPS
B) Description du mode tapping Le mode dynamique nécessite la compréhension de notions associées aux oscillateurs harmoniques et aux oscillateurs non linéaires. Les étudiants apprennent à passer en mode dynamique et à chercher le mode de résonance du levier. Ensuite ils définissent la fréquence de travail pour travailler en mode « tapping ». 1) Étude de HOPG et d’agrégats d’argent. Dans cette partie les étudiants doivent réaliser des images de surface de graphite. Les étudiants doivent mesurer la hauteur des marches observées et à l’aide d’un simple calcul tenteront de retrouver le nombre de feuillets de graphite correspondant. Puis ils doivent sélectionner une zone où le dépôt d’agrégats d’argent a été réalisé. Une fois cette zone localisée, ils doivent imager les agrégats en essayant d’adapter au mieux l’amplitude des oscillations. Les mesures de la taille des agrégats sont ensuite comparées avec des images MEB du même échantillon. 2) Étude de billes de polystyrène Pour illustrer l’intérêt du mode tapping, les étudiants analysent ensuite un échantillon de billes de polystyrène déposé sur une surface de verre. L’idée de ce travail est d’abord d’imager les billes en mode tapping et d’imager la même zone en mode contact. De cette manière, ils pourront constater que l’image en mode contact ne donne rien et qu’il est impossible de voir les billes. En repassant en mode tapping, ils confirmeront que la zone scannée en mode contact est complètement vidée des billes et que l’utilisation du mode contact ne peut se faire que si les objets sur la surface sont solidement fixés.
2) Module microscopie avancée Un cours de 3h sur la mesure magnétique et la mesure thermique par la pointe AFM sera dispensé pour à la fois formaliser les notions physiques et pour faire le lien avec les travaux pratiques. On présentera également les différents modes de fonctionnement pouvant exister ainsi que leur limitation.
A) Magnetic Force Microscope L’AFM magnétique est un aspect intéressant du développement des AFM. La fonctionnalisation de la pointe est la clé des développements permanents de ce microscope. Pour réaliser ces mesures, on utilise donc des pointes spécifiques en Ni-Co pour leur propriété magnétique. Généralement le mode utilisé est le mode dynamique en double passage. L’AFM fait déjà l’acquisition d’une image de la surface à étudier en mode tapping. Cette image est stockée en mémoire. Puis la pointe AFM décolle de la surface à une centaine de nanomètres et pendant le nouveau balayage reproduit les variations de hauteur mémorisées. Simultanément les variations d’amplitude de l’AFM sont enregistrées et imagées. Les images ainsi obtenues correspondent généralement aux variations de champ magnétique sous la
P27
PMIPS
pointe. Au final, il est possible d’obtenir une cartographie des variations de champ magnétique à l’échelle nanométrique.
B) Scanning Thermal Microscope L’AFM thermique est une vraie révolution au niveau des mesures thermiques. Il existe maintenant de nombreux appareils commerciaux permettant des mesures de température avec une résolution de 100 nm et une sensibilité de 0,1 K. Dans ce TP nous insisterons sur les mesures physiques possibles, la gamme de sensibilité permise et l’imagerie de conductivité thermique. Dans un premier temps nous étudierons comment par l’analyse d’approche-retrait on peut distinguer un conducteur d’un isolant. Ensuite nous tenterons d’imager un nanotube enrobé dans de la résine par son contraste thermique. Nous envisageons également de caractériser un dispositif électronique par son rayonnement thermique dû à l’effet Joule. Les exemples abordés dans ce TP seront choisis pour illustrer au mieux les performances d’un tel outil.
C) AFM pour la biologie L’AFM en milieu liquide est un système qui est maintenant proposé par tous les constructeurs de microscope champ proche. L’engouement de ces industriels pour la biologie a finalement permis de disposer de systèmes robustes et capables d’imagerie en milieu liquide sur des objets aussi fragiles que des cellules ou des bactéries. Dans ce TP, nous proposerons aux étudiants d’imager différentes souches de bactéries possédant des formes bien particulières et identifiables. Il s’agit de Lactococcus lactis (bactéries des fromages en forme de sphère), de Rhodobacter (bactérie pourpre en forme de bâtonnet) et de Streptomyces (bactérie filamentaire utilisée pour la production d’antibiotique). Les étudiants apprendront à faire leur dépôt sur lamelle de verre et à imager les bactéries dans leur milieu de culture sans les détruire, à la fois en mode contact et tapping. La grande difficulté de ce TP est de trouver les paramètres de balayage adéquats pour obtenir des images correctes.
CONCLUSION Ces travaux pratiques permettent aux étudiants de se former à la microscopie AFM sur les deux modes de fonctionnement les plus couramment utilisés, le mode « contact » et le mode « tapping ». Les différents échantillons permettent d’aborder des notions de physique simples et des comportements assez courants en imagerie AFM. Le module avancé va leur permettre de découvrir les autres possibilités qu’offre un AFM et ne pas se limiter qu’à la mesure de topographie. Les mesures physiques possibles avec un tel instrument ne sont limitées que par l’imagination des chercheurs et des industriels. La grande versatilité de l’AFM fait de lui un outil unique pour les nanosciences et ce qui lui donne un place importante au sein de notre enseignement.
P27
PLFM
Comment aborder en pédagogie l’aspect « système » d’une chaîne télécom ? Guillaume Ducournau, Christophe Gaquière, Thierry Flamen
[email protected]
Plate-forme PolyCOM, Pôle EEI Ecole Polytechnique Universitaire de Lille, Polytech’Lille
Introduction : Avec l’avènement des communications sans-fil et des multiples techniques de modulation numériques, l’ensemble d’une chaîne de transmission télécom est devenu très complexe. De plus, avec l’arrivée progressive et le déploiement des réseaux FTTH (Fiber To The Home, « la fibre à la maison »), l’utilisation de l’opto-électronique dans les communications locales va se développer. Nous proposons donc deux parties dans ce papier qui vont présenter des travaux pratiques réalisés à Polytech’Lille au sein de la plate-forme PolyCOM, s’adressant à des élèves ingénieurs. PolyCOM est une plate-forme d’enseignement et de développement de projets autour de l’aspect hardware de la transmission de signaux (Traitement de signal en BF, Communications numériques, hyperfréquences et Wireless, Photonique). Voici un aperçu des bancs de manips disponibles : Hyperfréquences
Photonique
Banc d'Etude du VNA
Transmission sur fibres optiques Jusqu'à 10 Gbit/s (OC-192)
Banc Spectrum Etudes des lasers Fabry-Pérot, DFB Battement optique, Amplifiication Erbium Analyse de diagramme de l'œil, BER
Banc CEM (Cellule GTEM, Antenne, Réseaux de découplages)
Poly C M … 1010001010 …
Communications numériques
Traitement de signal - BF
Génération / Détection vectorielle (Agilent MXG / PSA Agilent / VSA 89600) ASK / PSK / QPSK / Et modulations Télécoms (Jussqu'à 3 GHz) Analyse de spectre jusqu'à 26,5 GHz
Traitement de signal sur DSP Simulation, analyse FFT (Programme développé en interne)
Fig. 1. Plate-forme PolyCOM
I.
Chaîne de transmission Radio-fréquence :
Dans une chaîne de transmission RF, on retrouve classiquement trois grands blocs :
P15
PLFM
-
La partie bande de base, avant modulation, ou sont étudiés le traitement de signal, le numérique et les codages (canal, source), la correction d’erreur, …
-
Les « front-end » RF où l’on va effectuer la transposition de fréquence, l’amplification, l’émission à proprement parler. Cette partie de la chaîne fait quant à elle intervenir l’instrumentation RF (analyseurs de réseaux, analyseurs de spectres, …)
-
La partie propagation, c'est-à-dire le canal de transmission entre deux points : plus difficile à aborder en pédagogie, du fait de la taille des salles de manipulation ! On utilisera si possible une émission/réception en étant en champ lointain pour les antennes, mais cela ne sera pas toujours possible.
Nous proposons d’étudier un petit « front-end » où l’on réalise la transmission sans-fil d’un signal vidéo : il s’agit d’un signal généré par un lecteur DVD. Nous utilisons la sortie vidéo du lecteur. Ce signal pilote un mélangeur 1 GHz. L’oscillateur local est généré par un oscillateur (V.C.O. Voltage Controled Oscillator), et l’antenne utilisée est un patch résonnant à 869,5 MHz.
I.1. Synoptique de la manipulation : Lecteur DVD
869.5 MHz
Signal vidéo
RF
RF Entrée Vidéo TV
IF
OL
OL
VCO 1
VCO 2
Fig. 1. Chaîne de transmission RF étudiée (en petit à gauche : VCO, mixer émission)
Le principe général de ce TP est que l’étudiant va construire lui-même sa chaîne de transmission radio-fréquence pour effectuer la transmission d’un signal vidéo. Il aura à sa disposition des composants hyper connectés en SMA : deux VCO identiques, deux mélangeurs, des amplis, deux antennes appariées montées sur deux petits mâts, des câbles SMA. Le premier test consiste à analyser le VCO utilisé, c'est-à-dire évaluer la plage de fréquence accessible par ce VCO à l’aide d’un analyseur de spectres électrique (Anristu 3 GHz). L’étudiant trace alors la fréquence émise par le VCO en fonction de la tension d’alimentation. Selon le paramètre S11 de l’antenne patch, l’étudiant doit choisir la bonne tension de commande pour son VCO. Il utilise alors le VNA pour aller mesurer son antenne et déduire la fréquence porteuse à utiliser.
P15
PLFM
Fig. 2. Mesure du S11 de l’antenne patch utilisée La sortie de ce VCO pompe le mélangeur 1 GHz, connectorisé avec des connecteurs SMA. Ensuite, un amplificateur est utilisé juste avant l’antenne d’émission. Côté détection, l’antenne reçoit le signal et celui-ci est amplifié par des amplis montés en boîtier, en connexion SMA.
I.2. Vue du banc complet :
Lecteur DVD
TV en réception
Patch Tx
Scope 100 MHz
Etages RF (mixers, VCO, …)
Patch Rx
Fig. 3. Test en sinus I.3. Test avec 2 VCO : En premier lieu, on injecte en entrée IF du mélangeur utilisé à l’émission un sinus produit par un GBF. On essaie de détecter le signal en utilisant pour les deux oscillateurs locaux (OL) deux vco
P15
PLFM
indépendants. L’étudiant constate alors que ce n’est pas possible car les VCO ne sont pas verrouillés sur une même référence de phase. Cela permet d’aborder la notion de « récupération de porteuse », importante en transmission. A défaut d’un circuit pour récupérer la porteuse à l’heure actuelle, on prend ensuite le même VCO dont on divise en deux le signal.
I.4. Test avec 1 VCO et transmission sinus : On réalise le test en sinus avec la chaîne complète. Au départ l’étudiant ne met pas d’amplificateur et ne détecte rien. Il lui est alors fourni un ampli RF qu’il doit lui-même choisir de placer dans la chaîne, c'est-à-dire dans la partie RF. Il réalise alors un test de transfert de signal sinus, jusqu’à 10 MHz pour valider la bande passante disponible sur sa chaîne de communication.
Fig. 4. Test en sinus
I.5. Test en mode vidéo : Enfin, l’étudiant tente un essai en transmission vidéo. Il constate que selon le réglage du VCO, il perd ou non le signal. De plus les antennes patch sont polarisées et il est possible d’éteindre le signal (perte totale de transmission) en tournant à 90° l’antenne de réception, permettant d’observer « avec les mains » l’aspect polarisation. Un absorbant ou un obstacle est lui-aussi placé dans le champ de transmission permettant d’atténuer le signal et donc de perdre le signal.
II. Chaîne de transmission photonique : II.1. Présentation : Cette partie a été développée en collaboration avec FC-Equipements à Lannion (22). Il s’agit dans cette partie des TP d’utiliser des composants et procédés de base relatifs à la transmission sur fibre optique : -
Etude des lasers (Fabry-Pérot, DFB)
-
Etude d’un Ampli à fibre dopée Erbium
-
Etude d’un modulateur Mach-Zehnder (MZM)
P15
PLFM
Dans ce TP, l’étudiant sera amené à construire une chaîne de transmission à fibre optique. Il va d’abord caractériser sa source laser (tracé de la courbe P(i)), afin de déterminer le courant de seuil de la diode. Ensuite, il utilisera un modulateur Mach-Zehnder pour imprimer son signal de données sur l’optique. Il envoie cela dans une fibre de 57 km et enfin il détectera cela à l’OSA et en parallèle sur un oscilloscope à échantillonnage (Tracé du diagramme de l’œil).
II.2. Banc d’étude :
ASO, DCA
L’ensemble du banc d’étude est conditionné dans une baie 19’’ pour plus de facilité d’utilisation. Il est principalement composé de : -
Analyseur de spectres optiques
-
Drivers lasers + 4 lasers DFB télécoms.
-
Un modulateur optique d’intensité.
-
Un chassis DCA agilent et une carte 10 Gbit/s
-
57 km de fibre optique monomode, des coupleurs.
-
Synthé hyper 1 GHz
F.O. 57 km
Fig. 4. Banc système photonique
II.3. Etude du Mach-Zehnder : On commence par utiliser le modulateur optique MZM. Pour cela, l’étudiant commande avec une tension continue le modulateur pour mesurer l’extinction de signal, trace la courbe Puissance transmise = f(Tension appliquée). L’aspect linéarité au niveau du MZM est important pour aborder l’aspect « low signal », utilisé en radio sur fibre (RoF) et l’aspect OOK en communications numériques.
II.4. Fibre et transmission OOK : Après avoir étudié l’aspect modulation de signal, on utilise un laser DFB pour mesurer l’atténuation de la fibre optique sur le tronçon de 57 km. Enfin, un générateur de signaux est utilisé pour moduler le signal optique plus haut en fréquence et l’on se sert de l’oscilloscope avec carte optique pour mesurer le diagramme de l’œil produit. L’étudiant mesure sur l’œil optique le facteur Q et en déduit le taux d’erreur (BER).
P15
PLFM
Q = (µ1 – µ0) /( σ1 – σ0), où µ1 – µ0 sont les niveaux des états binaire, et µ1 – µ0 les étalements de bruit de ces niveaux. L’étudiant est donc ici amené à utiliser l’oscilloscope à échantillonnage, donc la synchronisation, l’aspect réglages, mesures (niveaux, ouverture de l’œil, extinction ratio, …)
II.5. Amplification optique Erbium :
Fig. 5. Mesure de diagramme de l’oeil
A la fin de la fibre de 57 km, un amplificateur optique est utilisé par redresser le niveau du signal. Cela perte d’illustrer l’aspect émission spontanée (gain de l’Erbium) et l’émission stimulée avec le signal optique incident.
II.6. Opto-hyperfréquence (Radio over Fiber RoF) : Dans cette série de travaux pratiques, les étudiants réalisent aussi de l’émission réception de signaux en QPSK, FSK, ASK. Ces signaux peuvent être, avant de transiter par l’espace libre, relayés par la fibre optique monomode SMF 28. L’idée sera alors de réaliser un TP d’opto-hyperfréquence, ou l’étudiant transmettra son signal hyperfréquence modulé numériquement en passant par une fibre optique monomode pour déporter le signal avant de le rayonner en espace libre.
Conclusion : Nous présentons dans ce papier les moyens disponibles à polytech’Lille présentant une approche « système » au niveau de la pédagogie et l’étude des systèmes télécoms. Nous présenterons la réalisation d’une chaine de transmission RF à base de composants localisés (mélangeurs, oscillateurs, antennes patch) réalisée dans le cadre de TP de niveau ingénieur. Nous présentons également un banc de TP photonique mis en place avec FC-Equipements Lannion concernant les transmissions photoniques sur fibre : étude du modulateur Mach-Zehnder, tracé d’un œil, amplification EDFA, … Enfin, nous illustrons le couplage entre l’opto et les hyper fréquences à l’aide d’un TP de radio sur fibre (Radio over Fiber). Pour tout renseignement, utilisation de la plate-forme, projets pédagogiques, ou tout simplement partager vos expériences, n’hésitez pas à contacter : G. Ducournau Plate forme PolyCOM, Département IC2M (Ingénierie et Com. des Syst. de Mesure) Polytech’Lille. Avenue Paul Langevin, 59652 Villeneuve d’Ascq Cédex. guillaume.
[email protected]
P15
PACA
RADAR DOPPLER HYPERFREQUENCES POUR DETECTION DE VITESSE Fabien Ferrero(1), Cyril Luxey(2), Gregory Sauder(1), Philippe Lorenzini(1), Gilles Jacquemod(1) (1)
Ecole Polytechnique Universitaire l’Université de Nice-Sophia Antipolis (2) IUT R&T de l’Université de Nice-Sophia Antipolis
Introduction Afin de compléter leur formation théorique, les étudiants de l’option Télécommunication et Réseaux de Polytech’ Nice - Sophia Antipolis suivent plusieurs enseignements de Conception Assistée par Ordinateur durant leur année de spécialisation [1]. Un nouveau mini-projet va permettre aux futurs ingénieurs d’étudier les éléments de base des communications radiofréquences en réalisant l’étude d’un système radar Doppler pour la détection de vitesse [2]. Cet article a pour objectif de décrire cette expérience pédagogique qui s’étale sur cinq séances de trois heures. Les différents éléments du système et le travail demandé aux étudiants seront expliqués.
Description du dispositif Le schéma bloc radar Doppler est présenté sur la Figure 1. Le système utilise une simple translation de la fréquence pour récupérer la fréquence Doppler. Deux antennes sont utilisées, une pour l’émission et la seconde pour la réception, le signal reçu est alors mélangé avec le signal émis. Cette maquette va permettre aux étudiants de mieux appréhender les principaux blocs de base des télécommunications radiofréquences que sont les amplificateurs, les mélangeurs, les circuits hyperfréquences et les antennes. La dernière séance permettra aux étudiants de valider leur système complet par la mesure de la vitesse d’un train électrique.
Figure 1 : Schéma bloc du radar Doppler
P18
PACA
Déroulement des séances de conception Les étudiants sont en charge de la conception de l’antenne et du diviseur de puissance, les règles de conception pour l’amplificateur et le mélangeur leurs sont également expliquées. En début de séance, l’enseignant effectue une présentation du travail à réaliser en insistant sur les points clés et les verrous. Les étudiants possèdent ainsi un cahier des charges à satisfaire pour le bon fonctionnement du système. L’objectif pour les séances de conception est de parvenir à un masque finalisé pour une réalisation par lithophotographie. Malheureusement, compte tenu de difficultés d’ordre pratique telles que l’accès aux salles de conception, l’étape de fabrication ne peut pas être effectuée par les étudiants. Des composants déjà réalisés leurs seront proposés pour les phases de caractérisation et de test. En ce qui concerne l’organisation du projet, il se décompose en 5 ateliers (Labs) détaillés ci-après.
Lab 1 : Initiation à Momentum La première séance consiste à présenter le fonctionnement du radar Doppler. Une rapide étude système est proposée aux étudiants et des spécifications pour chaque composant sont proposées. Cette introduction est suivie d’une initiation au logiciel de simulation électromagnétique ADS/Momentum (2,5D) d’Agilent. Les étudiants effectuent dans un premier temps la simulation d’une ligne microruban sous le simulateur circuit. Cette ligne est ensuite exportée sous Momentum et simulée. Toutes les étapes nécessaires lors d’une simulation électromagnétique sont détaillées : l’insertion et la configuration des ports d’alimentation, la définition d’un substrat et des couches qui le composent et enfin le maillage de la structure. Les résultats de cette simulation n’étant pas foncièrement différents de ceux obtenus avec l’analyse circuit (formules analytiques), on propose dans l’exemple qui suit de rajouter une antenne patch non alimentée à proximité de cette ligne pour montrer l’utilité d’une telle simulation. De ce fait, le couplage électromagnétique entre les deux éléments est observable lorsque l’on trace les courants surfaciques. Cette initiation se termine par la mise en réseau de plusieurs antennes patch, pour former successivement un réseau linéaire, puis un réseau plan de 6x6 éléments. Les étudiants sont notamment invités à faire varier la phase du signal qui alimente chaque antenne en vue d’obtenir un faisceau rayonné qui s’écarte de la direction normale à la structure (dépointage électronique).
Lab 2 : Conception de l’antenne patch et d’un réseau de patchs La deuxième séance consiste à concevoir la partie antennaire du radar. Pour cela, une initiation théorique qui résume les mécanismes de fonctionnement des antennes patch de forme rectangulaire est effectuée. Les principes généraux des techniques d’alimentations et de modélisation sont ainsi présentés. Une procédure simple de conception basée sur les équations analytiques des patchs est proposée. Il est possible aux étudiants de pré-dimensionner une antenne à 2,9 GHz sur un substrat spécifié (r=3.2, h=2.3mm). L’étape suivante consiste à simuler sous Momentum ce patch et à réajuster ses dimensions. Une fois que le patch correspond aux spécifications, cette antenne est mise dans un réseau de 4 éléments. Une attention tout particulière est donnée à la conception du réseau de distribution de puissance pour minimiser le coefficient de réfection du système. Les étudiants ont pour objectif de maximiser le gain dans la direction normale à l’antenne. Nous disposons à ce jour de plusieurs antennes satisfaisant au cahier des charges en termes de coefficient de réflexion (-10 dB minimum à 2,8 et 3 GHz) et qui présentent des gains de 14 dBi dans l’axe (mesurée dans une chambre anéchoïde). La Figure 2 présente un réseau de quatre patchs avec les performances simulées (bleu) en termes de diagramme de rayonnement. L’antenne réseau présente un gain suffisant pour notre application et permettra d’obtenir une sélectivité angulaire acceptable.
P18
PACA
Figure 2 : Antenne réseau à quatre patchs et simulation du diagramme de rayonnement
Lab 3 : Conception d’un diviseur equi-réparti en technologie microruban La troisième séance concerne le diviseur microruban qui doit assurer une division équi-amplitude du signal émis à la fréquence de 2.9 GHz avant d’attaquer l‘amplificateur d’émission et la voie LO du mélangeur (Figure 1). Différentes solutions sont proposées pour réaliser cette opération, les avantages et inconvénients de chaque solution sont étudiés. La solution finale choisie est le diviseur de type Wilkinson. L’intérêt de ce diviseur est mis en évidence aux étudiants en leur proposant de désadapter la charge en sortie de l’un des deux ports de sortie. La conception est dans un premier temps effectuée sous ADS, puis le masque du diviseur est crée, et les étudiants peuvent vérifier leurs résultats sous le logiciel Momentum, puis une co-simulation ADS et Momentum est proposée pour tenir compte de la résistance 100 (Figure 3).
Figure 3 : Diviseur de Wilkinson sous ADS et Momentum
Lab 4 : Caractérisation des amplificateurs et des mélangeurs La quatrième séance s’intéresse à la conception et à la caractérisation des amplificateurs et des mélangeurs. Un amplificateur Mini-circuits ERA-3SM+ est utilisé. Les techniques de polarisation par stub radial et ligne λ/4 sont expliquées aux étudiants. Pour le mélangeur, un composant de Minicircuits Sky-7G+ a été choisie. Pour ces composants, les datasheets sont distribués aux étudiants qui
P18
PACA
vérifient en mesure les données à leur disposition (Gain, Point de compression à 1 dB, IP3, Gain de conversion du mélangeur). Un analyseur de réseau, un synthétiseur et un analyseur de spectre sont mis à leurs dispositions. Les étudiants ont aussi la possibilité de verifier le comportement de l’antenne et du diviseur de Wilkinson. Quelques résultats de mesure sont présentés sur la Figure 4.
Figure 4 : Point de compression à 1 dB et gain de conversion du mélangeur
Lab 5 : Mise en fonctionnement du radar et vérifications expérimentales La dernière séance est consacrée à la mise en place du système Doppler et à l’expérimentation de la maquette. Les différents modules sont assemblés pour former le radar (Figure 5). Deux solutions sont alors proposées aux étudiants pour extraire la vitesse de l’objet en déplacement dans l’axe du radar. Premièrement, l’utilisation d’un oscilloscope classique où l’on vient manuellement capturer le signal sinusoïdal comme présenté sur la Figure 5.
Figure 5 : Oscillations en sortie du mélangeur à l’oscilloscope et assemblage modulaire Les étudiants peuvent alors extraire la vitesse et calculant la fréquence de la sinusoïde et en appliquant la formule (1) avec =0 puisqu’on se place en face de l’objet en mouvement (Figure 6) :
V=cos ().Fd.λ/2
(1)
Fd est la fréquence Doppler et la longueur d’onde dans le vide. Ils peuvent alors évaluer les limites d’utilisation du radar en termes de plage de vitesse mesurée, de distance de fonctionnement
P18
PACA
et de taille d’objet en mouvement. Des objets de natures différentes (conducteurs, isolants) sont placés devant le radar et la notion de surface équivalente radar est définie aux étudiants.
Figure 6 : Définition de l’angle entre l’objet en mouvement et l’axe du radar Une deuxième solution utilisant le logiciel Labview et un oscilloscope piloté en LAN est aussi proposée aux étudiants. Une maquette avec un train électrique est alors installée (Figure 7), pour améliorer la réflexion des ondes électromagnétiques sur le train, une plaque métallique est posée sur celui-ci. Les étudiants peuvent alors vérifier la formule (1) en faisant plusieurs acquisitions avec différents angles d’inclinaison de l’objet en mouvement comme défini sur la Figure 6.
Figure 7 : Acquisition sous Labview et mesure de la vitesse d’un train électrique
Conclusion Cette manipulation permettra ainsi, pour les futurs ingénieurs, de mieux comprendre les principes théoriques qui leur ont été présentés durant leur formation. Il en ressort que les étudiants éprouvent un réel engouement pour ce mini-projet particulièrement didactique car permettant de pouvoir observer en temps réel l’effet physique Doppler et de mettre en pratique de nombreux concepts qu’ils ont dû tout d’abord apprendre et ensuite maîtriser tout au long de leur cycle d’études d’ingénieur.
Références [1] C. Luxey, P. Le Thuc, R. Staraj, P. Lorenzini, G. Jacquemod, : « Communication sans fil a modulation fsk entre deux pc » JPCNFM 2008. [2] Michael A. Jensen, David V. Arnold, and Donald E. Crockett : « System-Level Microwave Design: Radar-Based Laboratory Projects »; IEEE Transactions on Education, vol. 43, issue 4, pp. 414-419. P18
CCMO
La Culture Scientifique Une de nos missions de chercheurs Jean-Marie Floc’h, Hervé Lhermite IETR, URM CNRS 6164 20 avenue Buttes des Coësmes 35043 Rennes, France
[email protected],
[email protected] I) Introduction : Participer aux efforts de développement de la culture scientifique et technique fait partie de nos missions. Ces missions se traduisent vers une ouverture de nos structures vers un large public. Il faut donc que l’ensemble du personnel de nos laboratoires y participe et s’investisse dans ces actions : le témoignage du métier de chercheur est l’un de ces volets. Les buts de ces actions sont multiples, mais l’enjeux majeur est d’attirer les jeunes vers des carrières scientifiques en essayant de mettre l’accent sur une plus grande participation féminine. Il est aussi de notre devoir d’éclairer un large public sur la science, la démarche scientifique, ses besoins, ses questionnements, ses implications dans notre société. C’est un besoin fort d’avoir des citoyens avisés dans notre société qui est de plus en plus technologique. Les progrès de la science suscitent des peurs et des craintes et nous devons lutter contre l’obscurantisme qui utilise ces peurs pour remettre en cause des théories bien établies (par exemple les créationnistes avec la théorie de l’évolution). L’IETR et le CCMO participent à ces actions à travers différentes opérations : Des opérations nationales et régionales comme : - La fête de la Science - L’opération Grandes Ecoles Collèges - Le Congrès des jeunes chercheurs - Le festival des Sciences - La nuit des Chercheurs Des opérations ponctuelles sur demandes comme : - Des visites de nos plateaux techniques - Des conférences - Des cafés scientifiques - Des interventions dans des collèges et lycées - Des interventions auprès d’associations - Des interventions auprès de maries ou communautés territoriales Des exemples visuels montrent certaines de ces opérations.
P33
CCMO
La Fête de la Science : nous y intervenons depuis 1995
La mesure de la vitesse du son à partir de microphone et haut-parleur en 2002.
Le GPS en 2001.
P33
CCMO
Les antennes dans la vie quotidienne en 2008
Visite de la chambre centimétrique avec des collégiens en 2005
P33
CCMO
Le congrès des jeunes chercheurs : (6 éditions depuis 1999) C’est une manifestation qui rassemble pendant une journée des élèves de CM1 –CM2 de la région rennaise (environ 250 élèves). Ils discutent et débattent sur un sujet scientifique le matin et l’après midi, ils font des visites de laboratoire du campus.
visite des salles blanches en 2001
la visite en 2004
P33
CCMO
Visite des salles blanche par des professeur de physique-chimie dans le cadre du congrès UDPPC(Union Des Professeurs de Physique Chimie) en 2005.
Intervention dans un collège de vitré sur le téléphone portable et les ondes éléctromagnétique en 2006.
P33
MIGREST
Exploitation des Ressources du CNFM pour l'Apprentissage de l'Électronique Numérique en DUT GEII V. Frick, Maître de conférences, Université de Strasbourg CNFM – Pôle MIGREST
[email protected] Résumé— Cet article présente un ensemble d'activités s'inscrivant dans le cadre des modules d'apprentissage de l'électronique numérique et de l'informatique industrielle proposés dans la formation au DUT en Génie Électrique et Informatique Industrielle à l'IUT de Haguenau (Université de Strasbourg). Ces activités reposent sur l'utilisation de ressources FPGA, qui offrent de nombreuses possibilités en terme de fonctionnalités matérielles et logicielles pouvant être associées aux concepts de bases abordés dans les niveaux L1 et L2. Elles permettent de ce fait d'appréhender les problématiques de conception de systèmes numériques de manière plus complète. Mots clés— CAO, langage VHDL, programmation C, synthèse de processeur, prototypage, FPGA.
L
I.INTRODUCTION
'ÉVOLUTION des systèmes électroniques est telle que les développeurs doivent être capable d'avoir une vision plus complète d'un système complexe, hiérarchisé et dont l'association matériel-logiciel est de plus en plus forte. Dans le cadre de leur formation au DUT en Génie Électrique et Informatique Industrielle (GEII), les étudiants de l'IUT de Haguenau, composante de l'Université de Strasbourg, ont l'occasion d'exploiter des outils de conception avancés dont les licences sont fournies par le pôle de MIcro et nanoélectronique du Grand-EST (MIGREST) du CNFM. L'utilisation de ces outils constitue une excellente opportunité d'effectuer leurs premiers pas vers l'ingénierie des systèmes complexes, sachant qu'à l'heure actuelle 80% des étudiants titulaires d'un DUT poursuivent leurs études, dont 50% en école d'ingénieur. Construit autour de la progression des étudiants dans leur cursus en GEII, cet article s'articule sur 3 parties. La première expose les activités liées à l'apprentissage des fondamentaux en électronique numérique, exploitant un environnement logiciel de conception de systèmes numériques associé à des ressources matérielles de type FPGA. La seconde partie présente les activités abordées dans le module d'enseignement traitant de la mise en œuvre de circuits FPGA par le biais de la conception en
Manuscrit proposé aux Journées Pédagogiques de la Coordination Nationale pour la Formation en Micro et nanoélectronique 2010.
le langage VHDL. La dernière partie est consacrée à Processeurs. II.TP ÉLECTRONIQUE NUMÉRIQUE EN 1
ÈRE
ANNÉE
A.Contexte Le programme pédagogique national de la formation GEII (PPN GEII) prévoit un module d'apprentissage des bases de l'électronique numérique destiné aux étudiants de 1ère année GEII. Étant donnée la diversité de leurs baccalauréats d'origine, ce module, survenant en tout début d'année, a pour but d'harmoniser leur compétences et aborde donc les fondamentaux des systèmes numériques tels que la logique booléenne, les portes logiques de base, les notions de logique combinatoire et séquentielle ainsi que celles de systèmes asynchrones et synchrones. Ce module comprend un ensemble de 6 séances de travaux pratiques d'une durée de 4 h chacune. La plupart de ces séances consistent à concevoir et simuler des systèmes à l'aide des outils Altera®. Il est important de remarquer que le degré de virtualisation et d'abstraction des outils de CAO actuels est tel que les aspects électriques peuvent aisément échapper aux néophytes. Aussi, afin qu'ils prennent conscience des paramètres physiques et électriques des circuits numériques qu'ils seront amenés à manipuler, les étudiants sont-ils préalablement invités à faire quelques montages à partir de composants discrets de technologie TTL lors de la première séance pratique. Ils appréhendent par ce biais les notions de tension d'alimentation, d'impédance d'entrée et de sortie, de fan out, etc., qui sont autant de caractéristiques qui n'apparaissent a priori pas explicitement dans les outils de développement de systèmes numériques tels que Quartus II®. Ils prennent également conscience de la problématique, voire la pénibilité, du routage manuel en réalisant des câblages à base de bascules JK et D pour réaliser des registres et des compteurs synchrones ou asynchrones. À partir de la seconde séance, la pratique se déroule exclusivement sur station de travail. Pour les étudiants, il s'agit dans en premier temps de prendre en main les outils de CAO Altera®. Cette seconde séance est donc consacrée à l'initiation à Quartus II® par l'élaboration de projets de conception simples consistant à saisir des schémas en
P12
MIGREST
mode graphique, à simuler et à implanter sur cible FPGA quelques fonctions logiques combinatoires et séquentielles de bases. Un document didacticiel de référence a été élaboré. Il est à tout moment à leur disposition sur l'intranet de l'IUT pour les guider en cas de problème lorsqu'ils travaillent en autonomie. Dans un second temps, il leur est progressivement demandé d'exploiter les potentialités des outils pour analyser les caractéristiques et performances des systèmes étudiés (temps de propagation, stabilité, etc.). Outre l'étude de systèmes à complexité croissante, le but de ces séances est d'inciter les étudiants à développer leur esprit critique. Dans le cadre de ce module, l'implantation sur cible FPGA de type Cyclone est essentiellement destinée à effectuer les vérifications fonctionnelles des circuits étudiés. Les étudiants n'utilisent que les ressources disponibles sur la carte de test (horloge, afficheurs, interrupteurs et boutons poussoirs). À ce stade de leur formation, il ne leur est pas encore demandé d'associer cette carte avec d'autres dispositifs (transmission de données sur PC, interfaçage avec carte de commande, etc.) B.Exemple de sujet de TP ENSL1 1ère année Le système décrit ici est un exemple de sujet proposé aux étudiants en fin de module. Il permet de d'aborder les notions telles que la conception d'un design hiérarchique associant des blocs combinatoires et séquentiels, l'étude des temps de propagation, le synchronisme et le temps réel. Le temps imparti à sa réalisation est de 4 h. Chaque étudiant travaille individuellement. Il s’agit de réaliser un compteur de vitesse numérique pour scooter. L’affichage de la vitesse doit être compris entre 15 et 97 km/h par palier sur les unités : 1 – 3 – 5 – 7. Par exemple : 21 km/h, puis 23 km/h, puis 25 km/h, puis 27 km/h et 31 km/h, etc. L’affichage est effectué au moyen des afficheurs 7 segments de la carte FPGA et est réactualisé toutes les 500ms. En outre, si la vitesse est inférieur à 15 km/h ou excède 97 km/h, les symboles « -- » sont affichés. Le schéma bloc du système est présenté dans la figure 1. Il y apparaît 1 bloc permettant d'émuler un capteur qui envoie des impulsions à une fréquence proportionnelle à la vitesse : – Pour 15 km/h, le capteur envoie 150 impulsions en 500 ms – Pour 21 km/h, le capteur envoie 210 impulsions en 500 ms – etc. Afin de contenir la séance de TP dans son temps imparti, ce bloc ainsi que le diviseur de fréquence paramétrable sont fournis aux étudiants depuis une bibliothèque accessible en ligne.
Fig. 1. Schéma bloc du système compteur de vitesse.
Fig. 2 : Exemple de simulation fonctionnelle d'un sous-ensemble du compteur de vitesse numérique.
Après compilation, simulation (cf. figure 2), analyse fonctionnelle et temporelle du système, celui-ci est implanté sur la carte de développement FPGA Cyclone Board NIOS II®. L'horloge principale MAIN_CLK est fournie par le quartz à 10 MHz de la carte et la vitesse est simulée au moyen de deux boutons poussoirs qui permettent d'accélérer ou de décélérer par pas de 1 km/h à chaque impulsion. C.Exploitation du testeur CNFM de Montpellier Les outils de CAO tels que Quartus II® sont essentiellement orientés vers la conception de systèmes numériques. Comme cela a été évoqué plus haut, certaines notions telles que les niveaux électriques, les effets de collage, les courants de sortie, la robustesse, etc. sont néanmoins autant de critères, souvent déterminant dans le choix d'une technologie, auxquels les étudiants risque de ne plus être suffisamment sensibilisés. Aussi, depuis la rentrée 2010, l'IUT de Haguenau propose une séance de TP en ligne exploitant le testeur du CNFM de Montpellier. Ce TP d'une durée de 4 heures a été écrit par Mme Pradarelli [1], ingénieure de recherche chargée du test et de la formation au test pour le pôle CNFM de Montpellier. Il consiste, pour les étudiants en IUT travaillant en binômes, à mettre en œuvre un banc de caractérisation d'un registre universel 8 bits de type 74ACT299 sur une plateforme HP93000 à partir du programme Verigy SmartTest. Outre la nouveauté liée au TP sur testeur, il s'agit également d'une opération expérimentale de TP en ligne de supervision en temps réel au moyen d'une connexion de type Virtual Network Computing (VNC).
P12
MIGREST
III.MODULE COMPLÉMENTAIRE D'ÉLECTRONIQUE NUMÉRIQUE EN 2 ANNÉE ÈRE
A.Contexte Un module complémentaire intitulé « Mise en œuvre de FPGA » est proposé en deuxième année de DUT GEII. Déjà familiarisés avec les cartes de développement FPGA lors de leur 1ère année, les étudiants ont ici l'occasion de découvrir un langage de description matériel, en l'occurrence le VHDL. Il permet ainsi d'exploiter plus pleinement les cartes FPGA et donc d'aborder des sujets plus complexes et plus complets en terme de transversalité, ce qui n'est pas aisé lorsqu'un projet est développé en mode graphique en raison de la lourdeur de manipulation des designs. Les séances de cours sont réduites au nombre de 3 (soit 6 h) pour laisser une plus grande part à la pratique du langage VHDL. Elles sont essentiellement consacrées à la présentation de la technologie des circuits FPGA et aux généralités sur les concepts et la structuration du VHDL. Lors des 6 séances pratiques d'une durée de 4 h chacune les étudiants travaillent individuellement sur PC. La complexité des sujets est croissante au fil des séances. La première séance est dédiée à la prise en main du langage à travers la description des fonctions logiques de base (ET, OU, XOR, additionneur binaire, D latch, D flip-flop, etc.). L'objectif en fin de module est la maîtrise des instructions permettant de décrire des modèles de systèmes simples mais paramétrables et hiérarchisés mettant en œuvre des notions transversales tel que le traitement du signal (filtrage numérique). B.Exemple de sujet de TP MCENSL1 2ème année Un des sujets proposés porte sur la réalisation d'un filtre de décimation pour modulateur Sigma-Delta. Notons qu'en raison de la transversalité du sujet le module de 2ème année intitulé « filtrage numérique » est pré-requis. La topologie de ce filtre à Réponse Impulsionnelle Finie (FIR) est présentée dans la figure 3. Les échantillons provenant du modulateur sont émis sur 1 bit à une cadence de 1 MHz et la décimation doit permettre de réduire à environ 3,9 kHz la cadence des échantillons de sortie codés sur 10 bits. Le facteur de suréchantillonnage du modulateur est donc de 256. Le principe de filtrage consiste à remplir une RAM FIFO avec n échantillons issus du modulateur. Lorsque les n échantillons sont stockés, le calcul du FIR est déclenché. Une ROM contient les n (par exemple 128) coefficients caractérisant le filtre. Chaque coefficient est additionnés ou soustrait dans un accumulateur en fonction de l'état du bit correspondant dans la FIFO. L'étude de la réponse spectrale du filtre et le calcul des coefficients est réalisée préalablement à l'aide de l'outil FDATool de Matlab®. Ils sont exportés dans un fichier texte sous forme d'entiers codés sur 16 bits puis importés dans le code VHDL.
xn T
fex128 a0
-a0
T a1
-a1
T a2
+
-a2
xn-N aN
-aN
yn fe
Fig. 3. Topologie du filtre FIR.
Les éléments de complexité liés à la conception et à la synthèse de ce système sont minimes. Il s'agit de : – générer une ROM de 2 kbits (128 coefficients de 16 bits) – créer une machine d'état capable de gérer le calcul du FIR par accumulation des coefficients sans perte d'échantillon du modulateur. L'architecture générale du système est présentée dans la figure 4. Elle illustre la simplicité de concept de filtrage. La difficulté ne résidant ici que dans le séquençage et la gestion des pointeurs de la RAM et de la ROM.
Fig. 4. Architecture générale du FIR. Lorsque le pointeur de coefficients atteint la fin du filtre, la somme contenue dans l’accumulateur contient le prochain échantillon de sortie du filtre. L’échantillon est sorti et l’accumulateur est remise à 0. Note: xk vaut 1 ou -1. Le multiplieur se limite donc à un choix entrele coefficient ou son opposé.
Pour les simulations, les étudiants utilisent le logiciel ModelSim® dont les fonctionnalités sont plus avancées que celles du simulateur natif de Quartus II®. Le vecteur de test contient un enregistrement de 100 kpoints d'un train de bits issus d'un modulateur Sigma-Delta réel. Ce train de bits est fourni aux étudiants sous forme d'un fichier texte disponible dans une bibliothèque en ligne. Il est également enregistré dans une ROM codée en VHDL, aussi fournie aux étudiants et permettant l'implantation sur la carte de développement FPGA. Pour le test du filtre, cette ROM est lue de manière cyclique par le système afin d'émuler un modulateur en régime permanent. La lecture des échantillons de sortie du filtre est réalisée au moyen d'un convertisseur numérique/analogique de type DAC0808 associé à un convertisseur courant/tension selon le schéma de la figure 5. Cette tension est visualisée sur oscilloscope.
P12
MIGREST
Fig. 5 : Convertisseur N/A en sortie du FIR.
IV.PROJETS TRANSVERSAUX A.Contexte Outre les modules d'enseignement comportant des séances pratiques encadrée, les étudiants de DUT sont également amenés à réaliser des projets en autonomie partielle. Les sujets traités à cette occasion peuvent leur permettre d'adopter une approche « système », dans laquelle ils abordent les notions de co-design matériellogiciel. Pour mener à bien leur projet ils disposent d'une dizaine de créneaux « libres » de 4 h dans leur emploi du temps. Ils travaillent en binômes et disposent de l'ensemble des ressources nécessaires à la réalisation du projet (PC portables équipés des suites logicielles Altera® et Matlab® cartes de développement FPGA, composants discrets, etc.) B.Exemple de sujet de projet : système d'acquisition de données d'une caméra numérique Dans ce sujet, librement inspiré des travaux exposés dans [2], il est demandé aux étudiants de réaliser une interface entre une caméra numérique et un processeur embraqué de type « softcore » NIOS II® développé à partir d'un outil de synthèse spécifique, SOPC Builder ®, d'Altera®. Ce processeur est chargé d'assurer le transfert des données acquises par la caméra vers un PC au moyen d'un liaison RS 232. L'outil SOPC Builder® permet également de générer et de synthétiser les périphériques du NIOS II® tels que le bus Avalon® faisant l'interface avec la caméra et les ports de communication JTAG et UART. La figure 6 montre le schéma synoptique du système. Après compilation, le système est simulé grâce au logiciel ModelSim®. La figure 8 présente une simulation du système en configuration d'acquisition de données. La figure 7 montre la structure de l'interface décrite en langage VHDL et permettant de connecter la caméra sur le bus Avalon®.
Fig. 6. Synoptique du système d'acquisition de données issues d'une caméra numérique.
Fig. 7. Structure de l'interface « camera – bus Avalon® »
Fig. 8 : Extrait de la simulation d'une séquence d'acquisition.
Une fois le NIOS II® synthétisé et implanté sur la carte de développement FPGA, sa communication avec la caméra est gérée par le protocole propre au bus Avalon® dont la conception du driver par l'outil de développement NIOS IDE C++ est fortement assistée. Ce logiciel permet de développer en langage C et de piloter le NIOS II® à partir des fonctions disponibles dans des bibliothèques spécifiques. La caméra est connecté sur les broches disponibles de la carte de test au moyen d'un nappe adhoc. Il est ainsi possible de stocker les données issues de la caméra dans la RAM du NIOS II®. Ces données sont ensuite transmises au port UART du NIOSII® et récupérées sur un PC via le port série RS232 disponible sur la carte de développement et dont la gestion est assurée par un programme d'émulation de terminal PC. Les données peuvent ensuite être traitées avec un logiciel de traitement du signal ad-hoc tel que Matlab®. V.CONCLUSION Le potentiel des ressources FPGA est tel qu'il facilite l'apprentissage de l'électronique numérique et permet une
P12
MIGREST
forte progression des étudiants qui, débutants dans le domaine de l'électronique pour la plupart lorsqu'ils intègrent la 1ère année de formation au DUT GEII, parviennent assez rapidement à appréhender des systèmes relativement complexes. Les outils de CAO étant souvent fastidieux à mettre en œuvre, il est donc évident qu'une assistance soutenue et la mise à disposition de blocs complexes préalablement développés peut se révéler indispensable au succès de cette technique pédagogique. L'expérience montre que les sujets transversaux associant aspects matériels et logiciels sur un même système suscitent le plus grand intérêt et ouvre la voie à des perspectives nouvelles. RÉFÉRENCES [1] [2]
Béatrice Pradarelli, Production Testing Labs, CNFM Montpellier, LIRMM. Fahmi Ghozzi, Optimisation d’une Bibliotheque de Modules Matériels de Traitement d’Images. Conception et Test VHDL, Implementation sous forme FPGA
P12
CIME
Conception conjointe logiciel-matériel et microprocesseur embarqué, validation sur plateforme FPGA Vincent Fristot, Sylvain Huet,
[email protected],
[email protected] Gipsa-lab, 961 rue de la Houille Blanche – BP 46, 38402 Saint Martin d'Hères Laurent Fesquet,
[email protected] Laboratoire TIMA, 46 avenue Félix Viallet, 38031 Grenoble cedex Robin Rolland,
[email protected] CIME-Nanotech, 3 parvis Louis Néel, BP 257, 38016 Grenoble cedex 1 RESUME : Dans le cadre d'une initiation aux systèmes électroniques intégrés, nous proposons un bureau d'étude de découverte d'un processeur embarqué au cœur d'une chaîne de traitement numérique du signal. A l'aide d'une description VHDL du processeur élémentaire fournie aux étudiants, il est proposé de simuler l'exécution de programmes en assembleur et de mettre en œuvre le flot de conception d'un SOC. Cet enseignement a été apprécié car il permet d'illustrer le fonctionnement du cœur du processeur tout en validant la conception du matériel et du logiciel sur une carte FPGA. Mots clés : bureau d'étude pour élèves ingénieurs, microprocesseur embarqué, conception conjointe logiciel/matériel, flot de conception de circuits numériques, circuit logique programmable FPGA, SOC. 1
INTRODUCTION
La création de l'école PHELMA de Grenoble-INP en 2008 a été l'occasion de mettre en place un enseignement d'initiation à la filière « Systèmes Electroniques Intégrés » qui débute en deuxième année. Il s'agit de permettre aux étudiants de première année d'école de découvrir les systèmes sur puce (SOC), la conception conjointe logiciel/matériel et de faire fonctionner un microprocesseur élémentaire. La complexité des processeurs intégrés disponibles nous a conduit à concevoir un processeur pédagogique dédié, qui permet une mise en route rapide de petits programmes écrits en assembleur. Après un rappel du contexte, nous présentons le sujet du bureau d'étude proposé aux étudiants ainsi que la maquette de développement FPGA support du travail à réaliser. 2 CONTEXTE 2.1 Technologie des systèmes électroniques L'intégration croissante de dispositifs électroniques au sein d'un même circuit concerne tous les domaines courants : téléphonie, informatique, automobile, avionique, médical... Les systèmes intégrés complexes sont constitués d'une puce matérielle mais aussi de logiciels. A travers le travail proposé, nous allons donner un aperçu d'un système complet permettant le traitement numérique de signaux, ceci à l'aide d'un processeur élémentaire.
2.2
Visualiser le coeur du processeur
Les outils de conception de circuits électroniques numériques permettent de simuler tous les signaux internes. Nous avons donc décrit le comportement du processeur, de ses périphériques en VHDL et disposons d'un processeur générique (dimensionnement paramétrable des bus d'adresses, de données, de la taille des registres) et de son environnement. Contrairement à la mise en œuvre de microcontrôleurs intégrés existants [2], il devient donc possible d'accéder à la simulation de l'intégralité des signaux internes du processeur ce qui constitue un atout pour comprendre le fonctionnement interne et les détails de l'architecture du processeur. 2.3 Conception rapide et validation sur une carte FPGA Il est proposé de mettre en œuvre un flot de développement complet qui repose sur les outils suivants : • ModelSim de Mentor Graphics [6] pour la simulation des circuits au niveau fonctionnel et après placement routage, • Precision Synthesis de Mentor Graphics pour la synthèse logique à partir d'une description VHDL, • Quartus d'Altera pour le placement routage, la génération des fichiers de programmation du FPGA. Ces outils sont appelés à l'aide de commandes en ligne, au travers de scripts préparés par les enseignants ce qui facilite grandement le travail des étudiants. Il est demandé aux étudiants de réaliser les simulations de l'exécution de programmes en assembleur, ce qui équivaut à une exécution des instructions en pas à pas, tout en visualisant le détail du fonctionnement interne du processeur. Une carte de prototypage FPGA permet de faire fonctionner le dispositif complet de traitement de signaux
P8
CIME
analogiques, ce qui renforce l'attrait de l'enseignement, à l'image de la plateforme matérielle de démonstration des turbo-codes [1]. 3
SUJET DU BUREAU D'ETUDE
En s'inspirant de l'architecture d'un processeur élémentaire proposée par le fabricant de circuit logiques programmables Altera (DE1_lab_exercises - lab 9 et 10 documents téléchargeables [3]), nous avons mis au point un bureau d'étude de 4 séances - soit 16h - consacrées à l'étude du processeur et à une application de démodulation d'un signal modulé en frequency shift keying - FSK. Notre objectif est d'introduire la notion de processeur à travers un processeur élémentaire - le nanoprocesseur et de réaliser un système complet qui permet l'exécution de programmes adaptés à une chaîne de traitement de signaux. Ce processeur est organisé autour de 8 registres de données (dont un utilisé pour le Program-Counter), une unité arithmétique et logique munie d'un registre accumulateur et d'un registre de résultat, d'un bus externe capable d'adresser 256 mots, le tout étant cadencé par un séquenceur qui permet l'exécution des instructions du programme en 3 à 5 cycles d'horloge, fixée à 50 MHz. Le processeur constitue le cœur du projet dont l'architecture à partie opérative (alu, registres, bus) et partie de commande (séquenceur) [7] est présentée figure 1: nanoprocesseur
Partie Opérative - PC - registres - alu - mux
addr
data_out w
Séquenceur clk rst
data_in
machine à états
Mémoire - paramètres - instructions (prog)
Fig 1. Le nanoprocesseur et son espace mémoire
Nous présentons une démarche structurée de conception de circuits numériques, basée sur une description du processeur et de son environnement ainsi que sur des outils de simulation et de synthèse logique. 3.1
Spécification du processeur
3.1.1 L'environnement du processeur Les périphériques du processeur à disposition des étudiants sont les suivants : • des mémoires permettant de stocker les instructions de programme ainsi que les données traitées ; • différentes entrées/sorties numériques afin de connecter boutons poussoirs, afficheurs, convertisseur AN.
Une horloge (clk) et un signal de remise à zéro (rst) assurent un fonctionnement synchrone. Ainsi, le processeur communique avec l'extérieur à l'aide d'un bus de 8 bits d'adresses et 16 bits de données; le bus de données est séparé en entrées et en sorties (data_in, data_out). 3.1.2 Jeu d'instructions Nous choisissons d'implémenter un jeu d'instructions réduit pour réaliser de petits programmes démonstratifs. Voici la liste des 12 instructions du nanoprocesseur : • mv : transfert entre registres • ldi : chargement donnée immédiate dans registre • add, sub, and : op arithmétiques entre registres • mvnz, mvgt : transferts conditionnels • brnz, brgt, brz, brmi : branch. conditionnels • bra : branchement inconditionnel Les instructions sont codées sur 10 bits, dont les 4 bits de poids fort codent la nature de l'instruction. 3.2 Description de l'unité arithmétique et logique (ALU) Le fonctionnement de l'ALU est précisé à l'aide de la description en langage VHDL suivante : entity ALU is port ( a,b : in std_logic_vector(15 downto 0); alu_code : in std_logic_vector(1 downto 0); r : out std_logic_vector(15 downto 0)); end ALU; architecture behavior of ALU is begin process (a,b,alu_code) begin case alu_code is when alu_add => r<=std_logic_vector(un signed(b) + unsigned(a)); when alu_sub => r<=std_logic_vector(un signed(b) - unsigned(a)); when alu_and => r<=std_logic_vector(un signed(b) and unsigned(a)); when others => r<=std_logic_vector(unsi gned(b) + unsigned(a)); end case; end process;
end behavior;
Fig 2. Description VHDL de l'ALU
Nous avons implémenté trois opérations arithmétiques et logiques de base dans cet ALU. Il est aisé de compléter les fonctionnalités en ajoutant d'autres instructions. 3.3
Architecture retenue
Le nanoprocesseur est constitué d'une partie opérative et d'un séquenceur, le coeur de la partie opérative étant l'ALU qui traite des données de 16 bits. La partie opérative rassemble principalement les 8 registres de données (16 bits), l'ALU, un multiplexeur qui positionne le bus interne « nanobus ».
P8
CIME
Le séquenceur est la partie de commande du processeur. A partir du code de l'instruction à exécuter, et des bits d'état, le séquenceur positionne l'ensemble des signaux de commande de la partie opérative. La description de la machine à états du séquenceur est fournie aux étudiants, il n'est pas possible de la présenter ici en raison du format de cet article. L'exécution d'une instruction commence par l'accès au code instruction situé en mémoire. Le cycle fetch1 permet de sortir la valeur du registre PC sur le bus d'adresses externe pour accéder au code de l'instruction. Le cycle fetch2 est celui de la lecture du code de l'instruction issu de la mémoire. Suivent ensuite 1,2 ou 3 cycles d'exécution selon la complexité de l'instruction. Ainsi, les instructions sont exécutées en un total de 3 cycles (transferts et branchements), 4 cycles (transfert immédiat ou indirect), 5 cycles (opérations arithmétiques ou logiques). Pour aller plus loin, il est possible d'améliorer ces performances en adoptant une architecture optimisée de type RISC [8]. 3.4
4
MAQUETTE PEDAGOGIQUE
Le processeur et son environnement sont intégrés dans un circuit logique programmable (FPGA) Cyclone II 2C20 d'Altera qui comprend 18000 cellules d'éléments logiques (LE), 240 kbits de RAM et 315 ports d'entréesortie [4]. Nous utilisons le kit de développement DE1 d'Altera [3], qui dispose d'afficheurs 7 segments à LED, de boutons poussoirs, d'une horloge à 50 MHz, de connecteurs d'entrées et sorties logiques, présenté à la figure 4 :
Exemple de programme
Nous donnons ci-dessous un exemple de programme d'addition du contenu des registres r0 et r3, le résultat est stocké dans r0. Fig 4. Le kit de développement DE1
adres code se op
instructions
commentaire
0 1
0040 AAAA
ldi r0,#AAAA
charge AAAA dans r0
2 3
0058 000F
ldi r3,#000F
charge 000F dans r3
4
0083
add r0,r3
r0 ← r0 + r3
5
027F
loop: bra loop
boucle infinie
Une carte d'extension présentée à la figure 5 a été ajoutée à ce kit afin de traiter des signaux analogiques (acquisition d'un signal modulé en entrée et signal démodulé en sortie). Cette carte permet la réalisation d'un filtre analogique du premier ou du second ordre, la numérisation d'un signal (CAN) et la restitution d'un signal analogique en sortie (CNA) après traitement numérique.
Fig 3. Premier programme d'application
L'exécution de ce programme par le processeur est simulée figure 9 (voir en fin d'article). On visualise les signaux d'adresse et de données de la mémoire programme (ROM), l'état d'exécution des instructions, le résultat de l'opération effectuée par l'ALU ainsi que 2 bits d'état relatifs à ce résultat (Z zéro, G négatif). La valeur des 8 registres r0 à r7 est affichée. Cette simulation agit donc comme un débugger permettant de déceler tout fonctionnement anormal du programme ou toute erreur intervenue lors du codage à la main des instructions de programme. Le flot de développement utilisé par les étudiants consiste en l'écriture du programme assembleur en binaire (codes opérations) à inclure dans la description du contenu d'une ROM en VHDL.
Fig 5. La carte d'extension d'entrée-sortie analogique
Les convertisseurs supportent une fréquence d'échantillonnage de 1MHz (convertisseurs AD7822 – AD7302). Le développement de cette carte analogique a été nécessaire car les convertisseurs analogiques présents sur la carte DE1 sont dédiés à des signaux audio et ne sont pas accessibles à des mesures (composants CMS).
P8
CIME
4.1
Validation sur carte de prototypage
Le nanoprocesseur est doté de mémoire ROM pour stocker le programme à exécuter, de mémoire RAM pour stocker des paramètres variables et de ports d'entrées/sorties ou d'interface avec l'extérieur. La figure 6 représente la hiérarchie des entités intégrées au projet : le processeur central et ses périphériques sont connectés à des modules matériels de pilotage des afficheurs 7 segments et de pilotage des convertisseurs analogiques de la carte d'extension. Carte DE1 systeme FPGA systeme_proc
Carte extension A/N
proc
CAN
RAM ROM
CNA
port_IO
digit
digit
analog_out
fsm_acq
clk_50MHz rst
Fig 6. Système embarqué sur la carte de prototypage
4.2
Fig 8. Démodulation à l'aide du nanoprocesseur
analog_in
Chaîne de traitement numérique
Nous disposons donc d'une chaine classique de traitement de signal, basée sur le nanoprocesseur :
5
TRAVAIL DEMANDE
Nous détaillons le déroulement des 4 séances de 4h proposées aux étudiants : Séance 1 : L'unité arithmétique et logique Le code VHDL décrivant l'ALU est donné, il est demandé de modifier ce code VHDL pour ajouter l'instruction 'not' , de simuler ce nouvel ALU, de comprendre l'architecture générée par l'outil de synthèse « precision » en faisant le lien entre la description comportementale en VHDL et le résultat de la synthèse. Séance 2 : Le séquenceur - la machine à états Il s'agit de détailler l'exécution d'un programme sur le nanoprocesseur afin de calculer la somme des j premiers entiers : j
Fig 7. La chaine de traitement de signal
Nous utilisons ce dispositif pour réaliser une application de démodulation d'un signal analogique. 4.3
Application : un démodulateur FSK
Nous disposons d'un signal binaire modulé en FSK (deux fréquences distinctes correspondant aux deux états logiques) représenté à la trace supérieure (figure 8). La carte analogique ajoutée à la plateforme FPGA intègre un filtre passe bas et le convertisseur analogique-numérique permettant l'acquisition du signal au centre de la figure 8. Le processeur exécute un programme qui compare le signal entrant à un seuil ajustable et mémorise l'état actif pendant au moins une période du signal d'entrée. Le résultat de la détection est représenté – trace inférieure figure 8, c'est le résultat de la démodulation.
S=∑ i i =0
Ecrire ce programme à l'aide des instructions disponibles, l'assembler et le simuler sous modelsim (simulation fonctionnelle). Séance 3 : Programme de démodulation FSK La démodulation proposée consiste à détecter la présence de la composante basse fréquence obtenue après filtrage analogique passe bas. A partir d'un seuil programmable à l'aide des boutons poussoirs, la valeur du seuil est affichée en hexadécimal sur 2 afficheurs 7 segments. Ecrire le programme réalisant cette fonction. Comparer le signal entrant avec le seuil, introduire un timer logiciel afin de mémoriser l'état actif pendant au moins une période du signal de la composante BF. Séance 4 : Intégration et prototypage du nanoprocesseur Le but est de réaliser le prototype complet sur la carte DE1 d'Altera, avec simulation, synthèse et placement routage du système FPGA, afin de générer le fichier de programmation du circuit logique programmable.
P8
CIME
6 CONCLUSION Le bureau d'étude proposé constitue une initiation aux systèmes électroniques intégrés. Plusieurs notions liées à la conception de circuits numériques sont abordées et illustrées. Sur les 11 groupes de deux étudiants concernés par cet enseignement, tous ont fait tourner de petits programmes en simulation. 7 groupes ont conçu le programme final permettant d'ajuster le seuil à l'aide de boutons poussoirs et l'ont validé sur la maquette DE1. Deux groupes ont fait fonctionner et ont validé le programme complet de démodulation FSK ; ils ont donc répondu à la totalité du travail demandé. Les étudiants ont apprécié le travail proposé au cours de ces séances. Plusieurs ont conclu à un bilan positif même s'ils n'ont pas pu terminer faute de temps. Ils ont souligné la diversité des aspects abordés dans ce BE.
A partir de ce sujet, il est possible de faire évoluer le processeur en ajoutant d'autres instructions. L'étude de l'architecture du séquenceur doit aboutir à une optimisation et à une accélération de l'exécution des instructions. Enfin, l'automatisation du processus d'assemblage par le développement d'un assembleur permet de faire le lien entre un développement logiciel et le matériel sous-jacent.
Fig 9. Simulation de l'exécution du programme donné en figure 3
Bibliographie [1] Ch. Jégo, A. Picart et J. Tousch, “Développement d'une plateforme matérielle de démonstration dédiée aux turbo codes”, Journal de l’Enseignement des Sciences et Technologies de l’Information et des Systèmes (J3EA), Vol.2, 10 (2003). [2] H. Sauer, Th. Avignon, M. T. Plantegenest “Une initiation aux microprocesseurs pour les élèves ingénieurs de SupOptique”, CETSIS-EEA, Clermont Ferrand, 29-30 Octobre 2001. [3] Développement sur carte DE1 d'Altera : http://www.terasic.com/downloads/cd-rom/de1/
[4] Data Sheet des circuits de la famille Cyclone II d'Altera : http://www.altera.com/literature/lit-cyc2.jsp
[5] S. Huet, V. Fristot, “Cours de logique tronc commun PET Phelma” : http://huet.phelma.grenoble-inp.fr [6] www.model.com [7] Architectures Logicielles et Matérielles, P. Amblard, Dunod, collection Sciences Sup.,2000 [8] Des machines à états aux processeurs, cours d'Electronique numérique intégrée, chap 8, J.L. Danger, http://comelec.enst.fr/tpsp/eni/poly/enich8.html
P8
PCM
BANC DE TEST PROGRAMMABLE DEDIE A L'APPRENTISSAGE DES TECHNIQUES DE TEST DES MEMOIRES Jean-Marc Galliere, Luigi Dilillo Pôle CNFM de Montpellier Polytech'Montpellier, Université Montpellier Sud de France {galliere, dilillo}@polytech.univ-montp2.fr RESUME Généralement, dans un parcours d'école d'ingénieurs en microélectronique l‟approche du test reste très théorique. Peu de manipulations concrètes existent et les séances de travaux pratiques se limitent à la mise en œuvre d‟outils logiciels. Pour cela, nous avons mis en place au sein de notre école une maquette permettant aux étudiants un apprentissage concret du test et plus particulièrement du test des mémoires. A l'aide de cet environnement, ils arrivent à mieux comprendre l‟adéquation existante entre la séquence de test employée et la faute détectée. Mots clefs - Test, mémoires SRAM, banc de test configurable
1- INTRODUCTION Le coût de la vérification et du test des circuits intégrés actuels et futurs représente une part importante du prix de revient global des circuits produits par l‟industrie de la microélectronique [1]. Le domaine du test se révèle donc être un enjeu stratégique pour l‟industrie et par voie de conséquence pour la recherche et l‟éducation. Une formation d‟ingénieurs microélectroniciens se doit d‟offrir à ses élèves un enseignement dans ce domaine très spécifique. Au sein du département ERII de l‟école Polytech„Montpellier, l‟apprentissage du test des ASICs est abordé par l‟étude du test des fautes de collage. Pour cela, une série de cours présente les modèles de fautes (collage, court-circuit résistif, …) et les algorithmes classiquement utilisés pour la génération des vecteurs de test (D-Algorithme, PODEM, …) [2]. L‟aspect palpable du test est ensuite mis en application aux travers de travaux pratiques mettant en œuvre un outil de CAO de génération automatique de vecteurs de test : TetraMAX [3] et un testeur industriel Verigy [4]. Bien que le test des ASICs représente une part importante des efforts faits dans le domaine, il reste une famille de composants qui nécessite une attention particulière: les mémoires. En effet, les mémoires utilisent une surface croissante au sein des "System on Chip" (SOC), celle-ci pouvant atteindre aujourd'hui une surface supérieure à 80% d‟un circuit intégré [1]. Or il se trouve que les techniques de test des mémoires diffèrent fortement de celles des ASICs conventionnels. Dès lors, on comprend la nécessité d‟introduire ce champ dans un cursus d‟ingénieur en microélectronique. D‟autres universités [5] proposent un outil d‟apprentissage du test des mémoires. Cet environnement de travail très intéressant reste cependant très virtuel puisque la mémoire n‟existe pas physiquement. De plus, cet outil n'implémente qu'un seul algorithme de test. Dans cet article, nous présentons une maquette originale: un banc de test de mémoires SRAM nomade et programmable. Ce banc de test permet non seulement de mettre en œuvre plusieurs mémoires SRAM du commerce mais aussi d‟appliquer sur celles-ci différents algorithmes de test. Ainsi, les étudiants peuvent concrétiser les enseignements sur le test des mémoires et surtout mettre en évidence les propriétés inhérentes aux différents algorithmes appliqués. L‟article est organisé de la manière suivante. Le chapitre 2 présente le générateur versatile d‟algorithme de test. L‟architecture du banc de test est ensuite détaillée au chapitre 3. Le chapitre 4 décrit le fonctionnement du générateur de test March programmable. Les grandes lignes de la séance de travaux pratiques dédiée à ce banc de test sont présentées au chapitre 5. Enfin, nous dressons un bilan sur la mise en place de cette séquence pédagogique au chapitre 6. 2- GENERATEUR PROGRAMMABLE DE TEST MARCH Comme nous venons de le voir au chapitre précédent, le test des mémoires est un champ disciplinaire à part entière. La séquence de test ou algorithme de test d‟une mémoire est plus connue sous le nom de test March. Chaque test March a des propriétés qui permettent la mise en évidence des défauts caractéristiques aux mémoires [6]. Ces séquences de test sont en perpétuelles évolutions et font l‟objet de la part du mode industriel et académique d‟investigations de manière à les rendre chaque fois plus performantes. Un banc de test pédagogique doit permettre non seulement l‟implémentation de tests March existant dans la littérature mais aussi la mise en œuvre aisée de nouvelles séquences de test. Mais tout d'abord, intéressons-nous à cette technique. Un test March consiste en une séquence finie d'éléments March. Un élément March est une séquence finie d'opérations (ou primitives March) devant être appliquées à une cellule mémoire avant de passer à la cellule suivante. Ainsi, ↑ (r0;w1) est un élément March et r0 et w1 sont des primitives March. La séquence
P4
PCM
d'adresses d'un élément March peut s'effectuer dans un ordre croissant (↑), décroissant (↓), ou (↕) si l'ordre des adresses est indifférent. Une primitive peut être une écriture d'un 0 ou d'un 1 d'une cellule (w0 ou w1) ou une lecture d'un 0 ou d'un 1 d'une cellule (r0 ou r1). Voici un exemple : le March C- : {↑(w0);↑(r0,w1);↑(r1,w0);↓(r0,w1);↓(r1,w0);↑(r0)} Ce test March, très classique, permet de détecter toutes les fautes de collage, de transition d‟une cellule, les fautes d‟adressage des cellules et les fautes de couplage entre cellules. De manière à mettre en place une fonction permettant la mise en œuvre d‟une séquence March quelconque nous avons créé la structure décrite sur le tableau 1. TABLEAU 1 : structure d‟entrée
0 1 1 0 1 0 1 0 0 0 0 0 1 0 X X X X X X X X X X X X X X X X X X
1 0 1 1 1 1 0 X X X X X X X X X
0 1 0 0 0 0 1 X X X X X X X X X
0 1 1 1 1 1 X X X X X X X X X
0 0 1 0 1 0 X X X X X X X X X
X 0 0 0 0 X X X X X X X X X X
X 1 0 1 0 X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
X X X X X X X X X X X X X X X
Les données qui décrivent le test March sont stockées dans un registre de 274 bits. On peut le décomposer en deux parties: - Les 4 premiers bits indiquent le nombre d‟éléments March à réaliser - Les 270 autres bits se découpent en 15 blocs de 18 bits. Chaque bloc décrit un élément March et se décompose de la manière suivante: * Le premier bit détermine si la lecture des adresses se fait de manière décroissante (0) ou croissante (1) * Les 3 suivants déterminent le nombre d‟opération qui constituent l‟élément March * Les 14 derniers décrivent les 7 opérations par paires. Le premier détermine si l‟opération est un write (0) ou un read (1). Le deuxième détermine si les données (écrite ou attendue) sont des "0" ou des "1". Le tableau 1 donne l‟exemple de l‟implémentation dans la structure du test March C-. 3- ARCHITECTURE DU BANC DE TEST L‟architecture du banc de test de mémoire comprend un PC, le générateur programmable de test March, une liaison série qui assure la communication entre le générateur programmable et le PC et enfin, une platine comportant 4 mémoires SRAM du commerce (Fig. 1).
Serial link
Versatile March Test Generator
Adress Data CS
4 SRAM Memories
WE/OE
Fig. 1 : Architecture du banc de test Une interface utilisateur, présentée sur la figure 2, permet de choisir un test March de la littérature (March A, March C-, Mats, Mats+,…) ou de décrire son propre test March (custom). Le test March choisi est "uploadé" via la liaison série dans le générateur programmable. La séquence de test est ensuite appliquée aux mémoires SRAM présentent sur la platine.
P4
PCM
Fig. 2 : Interface utilisateur Si aucun défaut n‟est détecté, le générateur programmable retourne un acquittement positif sur les quatre mémoires. Dans le cas contraire, c‟est-à-dire quand une opération de lecture (R0 ou R1) ne se déroule pas avec le résultat escompté, la fonction retourne, le numéro de la mémoire, l‟adresse, la donnée et surtout quelle opération de quel élément March a fauté. En effet, ce n'est qu'avec la connaissance de cette dernière information qu'il est possible d'identifier la raison de la faute ou tout du moins de commencer à étayer des hypothèses. 4- ARCHITECTURE DU GENERATEUR DE TEST MARCH La spécificité de notre application a nécessité la création d'une fonction logique adaptée à nos besoins. Cette fonction séquentielle permet l'application automatique sur quatre mémoires SRAM des tests March sélectionnés par l'utilisateur. Le générateur de test March programmable est composé de quatre blocs (Fig. 3). serial
UART
clock reset
adress March Test
Timer
Memory Controller
data CS/WE/OE
Fig. 3 : Architecture du générateur de test March Le premier bloc "UART" est chargé de la communication bidirectionnelle entre le PC et la maquette. Le bloc "March Test" stocke le test March à appliquer aux mémoires. Le bloc "Timer" adapte le signal d'horloge au "timing" des mémoires. Enfin, le bloc "Memory Controller" est chargé d'appliquer les opérations fournies par le bloc "March Test" et de mémoriser, en cas de défaillance détectée, toutes les informations nécessaires pour le diagnostic. Ces informations sont ensuite transmises au bloc "UART". Tous les blocs du générateur de test March programmable ont été décrits en VHDL. Pour l'implémentation du code nous avons opté pour une plateforme de prototypage Spartan 3 de Digilent® Inc. [7]. Cette plateforme embarque un FPGA Spartan 3 de Xilinx® [8], de plus, elle est dotée d'une interface logique/RS232 et dispose de suffisamment d'entrées/sorties pour se connecter au bus d'adresse des mémoires. 5- EXPERIMENTATION La figure 4 présente la maquette mise à disposition des étudiants. Un câble série relie le banc de test à un PC. Les quatre mémoires présentent sur la platine n'ont pas le même comportement. Les trois premières sont saines, la quatrième est remplacée par un émulateur de mémoires fautives [9].
P4
PCM
Fig. 4 : Banc de Test sans l'ordinateur et sans l'émulateur de mémoire Cet émulateur offre la possibilité d'implémenter sur la mémoire virtuelle les principales fautes potentiellement présentes dans une mémoire SRAM: fautes de collage, fautes de transition, fautes de décodeur d'adresse et fautes de couplage. A partir de cet ensemble, il est proposé aux étudiants pour chacune des fautes citées, d'appliquer une séquence de test March C-. Ensuite, en analysant le rapport de test issu de l'interface utilisateur, l'étudiant doit découvrir le lien existant entre la faute détecté et la suite d'évènement March ayant conduit à sa détection. De cette analyse, il en ressortira une plus grande maîtrise des mécanismes d'activation et de détection des fautes ainsi qu'une meilleure compréhension des caractéristiques propres à une séquence de test March. 6- CONCLUSION La mise en place de cette séquence pédagogique est partie du constat que l'enseignement du test des circuits intégrés est trop souvent abordé de manière théorique voire même virtuelle, citons par exemple [5][10]. Or, il nous semblait important pour des étudiants bientôt confrontés à la réalité du monde industriel de leur offrir la possibilité de mettre en œuvre une séquence de test à partir de composants bien réels. Bien que cette séquence soit encore en cours de déploiement au sein de notre département d'enseignement, les premiers retours des étudiants sont encourageants. En effet, ils soulignent tous le fait d'avoir mieux cerné les tenants et aboutissants d'une séquence de test March. De même, ils reconnaissent avoir une meilleure conceptualisation des modèles de faute et…de l'architecture des mémoires! 7- BIBLIOGRAPHIE [1] Semiconductor Industry Association (SIA),”International Technology Roadmap for Semiconductors (ITRS)”, 2005 edition [2] C. Landrault, “Test de Circuits et de Systèmes intégrés,” Lavoisier, isbn 2-7462-0864-4, 2004 [3] Tetramax ATPG from Synopsys : http://www.synopsys.com/Tools/Implementation/RTLSynthesis/Pages/TetraMAXATPG.aspx [4] B. Pradarelli et al., “Industrial Testing Education at Undergraduate Level – A Datasheet and Diagnosis Based Labs Approach,” 8th International Workshop on Microelectronics Education, 2010 [5] A. Bosio et al., “Interactive Educational Tool for Memory Testing,” pp.100-103, 6th International Workshop on Microelectronics Education, 2006 [6] A.J. Van de Goor, “Testing Semiconductor Memories: Theory and Practice,” John Wiley & Sons, isbn 0-47192586-1, 1991 [7] Digilent Inc. : http://digilentinc.com [8] Xilinx : http://www.xilinx.com [9] P. Rech et al., "A Memory Fault Simulator for Radiation-Induced Effects in SRAMs," IEEE 19 th Asian Test Symposium, 2010 (to be published) [10] W.A. Pleskacz et al., "DefSim - The Educational Integrated Circuit for Defect Simulation," pp.121-122, IEEE International Conference on Microelectronic Systems Education, 2005
P4
CCMO
Implémentation dans un ASIC et simulation mixte d’un cœur de microcontrôleur et de périphériques numériques et analogiques Sylvain Garnier1 , Mikaël Tual1 , Richard Perdriau2 , Mohamed Ramdani2 1 2
ATMEL Nantes - La Chantrerie - Route de Gachet - 44036 Nantes Cedex - France
ESEO - 4, rue Merlet-de-la-Boulaye - BP 30926 - 49009 Angers Cedex 01 - France (Pôle CNFM de Rennes - CCMO) Présentation et contact : Richard Perdriau (
[email protected])
1
Introduction
Dans le cadre de l’option Electronique Embarquée (EE) de l’ESEO, les étudiants suivent une formation complète à la microélectronique numérique et analogique. Cette formation fait suite à un enseignement des fondamentaux de l’électronique intégrée (25 heures) en tronc commun au semestre 6 (première année ingénieur - L3) et de conception VHDL (15 heures de cours magistral et 24 heures de mini-projets) en tronc commun au semestre 7 (deuxième année ingénieur - M1). L’enseignement d’option en microélectronique comprend au semestre 8 : – un cours magistral de conception analogique intégrée (10 heures), – un cours magistral de synthèse des amplificateurs CMOS intégrés (15 heures) suivi d’un mini-projet (37,5 heures) de conception d’un amplificateur Miller ou cascode, – un cours magistral de technologie microélectronique (7,5 heures), – un cours magistral (3,75 heures) suivi d’un TP (4 heures) de langage Verilog, – un cours magistral (3,75 heures) et des travaux pratiques (12 heures) de logique programmable avancée en VHDL. Au semestre 9, l’enseignement magistral comprend : – un cours magistral (3,75 heures) et des travaux pratiques (12 heures) de langage VHDL-AMS, – un cours magistral (3,75 heures) de langage SystemC, – un cours magistral (7,5 heures) d’électronique faible consommation, – une conférence (7,5 heures) sur les convertisseurs A/N et N/A, En complément de cet enseignement, il nous a semblé primordial de proposer aux étudiants de synthétiser l’ensemble des savoirs de conception et modélisation microélectronique déjà vus au cours de leur cursus, au travers d’une activité de longue durée. De plus, il existait déjà une collaboration forte au niveau recherche entre l’ESEO et ATMEL Nantes. Il a donc semblé naturel de la compléter par une collaboration pédagogique très forte, s’appuyant sur l’ex-
périence des équipes de conception d’ATMEL. Ainsi, deux experts d’ATMEL Nantes, Sylvain Garnier et Mikaël Tual, ont accepté de bâtir, en collaboration avec les enseignants-chercheurs en microélectronique de l’ESEO, un projet de synthèse conséquent (67,5 heures). Ce projet a pour but de permettre aux étudiants d’appréhender l’ensemble des métiers de concepteur en microélectronique. Il est proposé aux étudiants d’implémenter, à partir d’un cœur de microcontrôleur (en VHDL), un ASIC permettant de générer numériquement une sinusoïde puis de la mettre à disposition sur une sortie analogique du circuit. Cet ASIC devra en outre être robuste vis-à-vis d’une défaillance de l’alimentation, ce qui nécessite la mise en place d’un superviseur d’alimentation intégré. Le projet se décompose en trois parties : – conception numérique et intégration (5 journées), animée par Sylvain Garnier (expert numérique, ATMEL), – conception analogique (3 journées), animée par Mikaël Tual (expert analogique, ATMEL), – flot back-end (1 journée), animée par Richard Perdriau et Mohamed Ramdani (enseignantschercheurs, ESEO). Les deux premières parties sont chronologiquement entrelacées, afin de conserver la cohérence du projet.
2 2.1
Conception numérique Présentation
L’objectif de cette partie de réaliser un circuit capable de générer des formes d’onde dans le domaine numérique. L’application choisie est la génération d’une sinusoïde de fréquence 100 kHz avec une résolution de 8 bits. Pour ce faire, il est donc mis à la disposition des étudiants le code source partiel en VHDL (sans le décodeur d’instructions) d’un cœur 8051 écrit par ATMEL, dans lequel les optimisations architecturales propriétaires ont été supprimées et remplacées par du code "standard" non optimisé (4 cycles d’horloge
P9
CCMO
par instruction) de manière à respecter la propriété intellectuelle de l’entreprise. La figure 1 résume l’architecture globale de la partie numérique du microcontrôleur avec ses mémoires associées.
Fig. 1. Architecture de la partie numérique du microcontrôleur
Les étudiants doivent alors compléter judicieusement le bloc DAX (Decode And Execute) qui est le décodeur d’instructions en logique câblée. Le bloc DAXDisplay est un bloc de mise au point écrit spécifiquement par ATMEL, permettant de visualiser directeR ment sous ModelSim les instructions exécutées par leurs mnémoniques au lieu de leur code hexadécimal. La première étape du développement consiste à écrire le code C du générateur de sinusoïde (dans un premier temps, une simple boucle sans contraintes temporelles), puis à le compiler grâce à une chaîne de développement croisé 8051, ici le logiciel libre SDCC. A partir du code assembleur généré, les étudiants regardent ensuite quelles instructions assembleur sont effectivement utilisées, puis les implémentent une à une en VHDL dans le décodeur d’instructions. Une R simulation RTL sous ModelSim leur permet de valider le fonctionnement du circuit ; un modèle comportemental adéquat pour la ROM vient directement chercher les instructions machine dans le fichier généré par l’éditeur de liens de SDCC.
2.3
2.2
Développement du décodeur d’instructions
Dans un premier temps, les étudiants ont à leur disposition un microcontrôleur "minimal" incluant seulement quelques instructions en exemple ainsi qu’un seul périphérique (un registre 8 bits) dans lequel le code viendra écrire les valeurs successives de la sinusoïde à générer. La figure 2 montre l’architecture du cœur.
Développement d’un périphérique : timer
Afin d’obtenir la fréquence précise de 100 kHz pour la sinusoïde numérique, les étudiants sont ensuite amenés à se demander quels moyens peuvent être mis en œuvre à cet effet. Ils sont aiguillés vers l’utilisation d’un timer permettant, par scrutation de son registre d’état dans le code C, de spécifier l’intervalle de temps entre deux échantillons successifs. La prochaine étape consiste à spécifier l’architecture de ce timer (figure 3) puis à écrire son code VHDL. Simultanément, les étudiants sont initiés à la démarche de conception de blocs de propriété intellectuelle (IP), qui nécessite de bien séparer le cœur du périphérique (qui peut être générique) de l’interface spécifique au microcontrôleur dans lequel il sera intégré.
Fig. 3. Architecture du timer
Fig. 2. Architecture du cœur
Par la suite, les étudiants vont jouer le rôle d’intégrateurs après celui de concepteurs : à partir de la description générale de l’espace adressable du 8051, ils devront spécifier l’adresse de base du timer, puis com-
P9
CCMO
pléter en VHDL les décodeurs correspondants ("SFR Decoder" sur la figure 1). Après modification du code C et compilation, une nouvelle simulation RTL permet de vérifier que la fréquence générée est conforme au cahier des charges.
2.4
Développement du contrôleur d’interruption
Très vite, les étudiants s’aperçoivent de la faible efficacité de la technique de scrutation. Ils en viennent donc à implémenter la génération de la sinusoïde par une routine d’interruption déclenchée par le timer. Cette étape consiste donc à écrire en VHDL le contrôleur d’interruption, connecter la sortie "overflow" du timer sur ce contrôleur, puis modifier le code C afin d’y insérer la routine d’interruption. A ce titre, le décodeur d’instructions du microcontrôleur doit de nouveau être modifié afin d’implémenter l’instruction machine de retour d’interruption. Par la même occasion, les étudiants se rendent compte de la faible efficacité du séquenceur, qui utilise 4 cycles d’horloge par instruction ; ils sont initiés à l’optimisation en réduisant eux-mêmes ce temps à 3 cycles par instruction par modification du code VHDL du séquenceur. Une simulation fonctionnelle finale permet de valider l’ensemble du fonctionnement. L’ensemble du projet, avant synthèse, aura occupé 2 journées.
2.5
Utilisation du synthétiseur logique
Les 2 journées suivantes sont consacrées à la synR Exthèse logique. Le logiciel utilisé est BuildGates treme de Cadence, fourni par le CRCC. La technologie cible est une technologie CMOS 0.35 µm, qui a été choisie afin de permettre une conception assez simple de la partie analogique associée au projet. Les étudiants commencent par effectuer une première synthèse sans contraintes du timer puis de l’ensemble de la partie numérique, suivie d’une simulation foncR tionnelle au niveau structurel sous ModelSim , afin de vérifier que la synthèse n’a pas modifié la fonctionnalité du système. Ensuite, une simulation temporelle rétroannotée au moyen des fichiers SDF (Standard Delay File) leur permet d’appréhender l’influence des temps de propagation sur le fonctionnement du système ; entre autres, ils peuvent constater le bruit numérique généré sur la sinusoïde en raison des commutations décalées des différents bits du registre de sortie (figure 4). La prochaine étape consiste à réaliser une synthèse sous contraintes temporelles, qui permet de montrer l’influence de ces contraintes au niveau porte (insertion de buffers d’horloge et augmentation de la surface) ainsi que la notion de "slack". Dans la technologie choisie, cette simple synthèse ne permet pas au microcontrôleur de fonctionner à la fréquence nominale (50 MHz) d’après la simulation. Ceci permet
Fig. 4. Simulation numérique rétroannotée : bruit observé sur la sinusoïde
d’initier les étudiants à la notion de chemin critique et d’identifier ce dernier. Une analyse fine indiquant que ce chemin n’est en fait jamais emprunté en fonctionnement normal du processeur ("faux chemin"), ils peuvent modifier les contraintes afin de permettre au microcontrôleur d’être simulable à la fréquence maximale de fonctionnement prévue. Au bout des 4 premiers jours, les étudiants sont prêts à passer à la simulation mixte, et c’est à ce moment que démarre la partie conception analogique.
3 3.1
Conception analogique Présentation
Le sujet de la partie analogique consiste en la conception d’un superviseur d’alimentation pour le microcontrôleur développé dans la partie numérique. Ce superviseur a pour objet de fournir, à partir de la surveillance de la tension d’alimentation, un signal logique passant à l’état haut quand cette tension passe au-dessous d’un seuil déterminé ; ce signal logique peut ensuite être utilisé pour déclencher une interruption ou même un RESET du microcontrôleur. Ce superviseur d’alimentation, dont l’architecture globale est représentée figure 5, comprend : – une référence de tension "bandgap" stable en température et indépendante de la tension d’alimentation, – un pont diviseur fournissant une image de la tension d’alimentation, – un comparateur fournissant le signal logique de sortie. Comme indiqué au début de l’article, des cours de conception analogique intégrée ont été dispensés au semestre 8 ; cette partie du projet permet aux étudiants de mettre directement en pratique ces connaissances. De plus, un objectif connexe du projet est l’apprentissage de la syntaxe SPICE, jusqu’alors toujours "masquée" par l’utilisation à l’intérieur du curR R sus d’outils graphiques comme PSpice ou LTSpice . R
Les outils utilisés sont Virtuoso de Cadence (fourni R par le CRCC) pour la saisie de schéma, et Eldo de Mentor Graphics pour la simulation.
P9
CCMO
Fig. 7. Architecture de l’amplificateur
Fig. 5. Architecture globale du superviseur d’alimentation
3.2
Conception de la référence bandgap
L’architecture globale de la référence de tension bandgap est donnée figure 6 (sans le circuit de démarrage).
les étudiants se concentrent sur l’amélioration du gain en boucle ouverte ainsi que sur la plage de tension d’entrée utilisable. La dernière étape consiste en l’inclusion d’un circuit de démarrage et de mise en veille, vu théoriquement pendant les cours du semestre 8 et rappelé dans ce projet. Les dimensions des transistors de ce montage sont fournies aux étudiants afin de gagner du temps. Ensuite, la simulation globale peut être effectuée avant passage à la partie intégration et simulation mixte. Le comparateur du bandgap est laissé au niveau macromodèle afin de simplifier le projet. La figure 8 présente un exemple.
Fig. 6. Architecture du bandgap (sans le circuit de démarrage)
Les étudiants commencent tout d’abord par effectuer un calcul théorique de l’ensemble des résistances et des dimensions des transistors du montage en fonction du cahier des charges. Une simulation électrique R est ensuite effectuée avec un macromodèle Eldo parfait de l’amplificateur. Des ajustements sont effectués avant de passer à la conception de l’amplificateur luimême. L’étape suivante consiste en la conception complète de l’amplificateur du bandgap au niveau transistor. Il s’agit d’un amplificateur simple étage avec level shifters et circuit de mise en veille, dont l’architecture est présentée figure 7. Le produit gain-bande étant sans objet dans le cadre de cet amplificateur destiné à fonctionner en statique,
Fig. 8. Exemple de simulation du bandgap
4
Intégration et simulation mixte
Le dernier jour de conception du projet est consacré à la simulation mixte. Il s’agit d’ajouter à l’existant numérique le superviseur d’alimentation ainsi que le modèle d’un convertisseur numériqueanalogique 8 bits tel qu’il serait réellement implémenté dans un microcontrôleur réel. Le synoptique global utilisé pour la simulation mixte est représenté
P9
CCMO
R figure 9. L’outil utilisé est ADVance-MS de Mentor Graphics, qui présente l’avantage de pouvoir à la fois importer directement la netlist du superviseur d’alimentation et simuler des modèles écrits en VHDLAMS.
Fig. 10. Simulation mixte complète du microcontrôleur
Fig. 9. Architecture complète du microcontrôleur
Dans un premier temps, les étudiants écrivent un modèle VHDL-AMS haut niveau du superviseur d’alimentation, ce qui permet en même temps d’effectuer des rappels sur le langage, de montrer l’intérêt d’une modélisation haut niveau et de diminuer le temps de simulation initial. Ensuite, ils remplacent le modèle par la netlist SPICE du superviseur et vérifient que les résultats de simulation restent corrects. La dernière étape consiste en l’écriture directe du modèle SPICE du convertisseur numérique-analogique (de type R-2R) et son importation dans le projet. La figure 10 présente la simulation globale du microcontrôleur avec son superviseur d’alimentation ; on peut y voir un RESET généré par une chute de la tension d’alimentation. A ce point, les étudiants ont pu voir l’ensemble des métiers liés à la conception d’un circuit intégré mixte : concepteur d’IP, intégrateur, concepteur analogique. Il leur reste la partie back-end.
5
Back-end
– le plan d’ensemble (floorplanning), – le placement des entrées/sorties, – le routage des alimentations (capacités de découplage de la matrice, bandes et anneaux d’alimentation), – l’extraction des parasites, – les fichiers à fournir à un fondeur (GDS2). R A la fin, le fichier GDS2 est réimporté sous Virtuoso et permet aux étudiants de visualiser l’ensemble du layout (sauf les blocs de RAM et ROM, propriétés du fondeur).
6
Conclusion
Ce projet de synthèse, très apprécié des étudiants comme des enseignants, regroupe de façon cohérente tous les métiers de la conception en microélectronique, au travers d’outils industriels de plusieurs éditeurs. Il permet aux étudiants de l’option Electronique Embarquée (EE) de l’ESEO d’être pleinement opérationnels dans une double compétence microélectronique analogique-numérique, qui devient de plus en plus rare aujourd’hui. Ceux-ci ont pu réviser ou apprendre les langages VHDL, VHDL-AMS, SPICE et C embarqué grâce à un exemple directement tiré de l’industrie, et appliquer une méthode de développement également industrielle. Ce projet montre également de façon très claire les bénéfices liés à une collaboration étroite entre l’industrie et l’enseignement, au travers de l’engagement des experts d’ATMEL Nantes vis-à-vis de la pédagogie. Ce projet sera bien évidemment pérennisé au cours des années scolaires ultérieures.
La partie back-end dure une journée et est baR sée sur l’utilisation de First Encounter de Cadence, fourni par le CRCC. Cette partie vise avant tout à présenter l’outil sur un exemple assez complexe. Les notions suivantes y sont abordées : – l’utilisation de générateurs de blocs (RAM, ROM),
P9
AIME
« NANOCRYSTALS INSIDE » OU COMMENT MEMORISER UNE INFORMATION DE FACON DURABLE AVEC DES OBJETS NANOMETRIQUES (NANOCRISTAUX DE SILICIUM) ? Régis DIAZ1&2, Jérémie GRISOLIA1, Gérard. BEN ASSAYAG2, Christiane DUPRAT3, François GUERIN3, Christophe CAPELLO3, Cherif ROUABHI3, Frédéric GESSINN3, Jean-Marie DORKEL3, Jean-Louis NOULLET4. Affiliations:1- LPCNO/INSA, 2- CEMES/CNRS, 3- AIME pôle CNFM de TOULOUSE, 4- CHIPYARDS. Contact :
[email protected], LPCNO/INSA, 135, Av. de Rangueil 31077 Toulouse
INTRODUCTION: L’explosion du marché de l’appareillage multimédia, des systèmes portables, nomades (téléphone, ordinateur, ...) et des technologies embarquées a eu pour conséquence de développer considérablement le marché des dispositifs mémoires. Ce développement fut motivé par le besoin de gérer et de stocker des quantités de données de plus en plus importantes, avec des vitesses de traitement accélérées, sur des supports de plus en plus petits, et consommant de moins en moins d’énergie. Cet essor est aujourd’hui un enjeu commercial important qui stimule l’industrie et la recherche. En particulier, dans le domaine des mémoires non volatiles de type flash, la tendance à la miniaturisation conduit à utiliser des tensions d’adressage de plus en plus basses, une épaisseur d’oxyde réduite avec des temps de rétention toujours plus élevés. Malheureusement, ces objectifs seront très difficiles à maintenir avec une technologie à grille flottante en polysilicium. Dans ce cadre, l’utilisation de mémoires à nanocristaux de Si enfouis dans l’oxyde de grille, à la place de la grille flottante, semble très prometteuse pour un fonctionnement à température ambiante. En effet, elle permet de limiter et diminuer la perte de charge pour des épaisseurs d’oxyde de l’ordre du nanomètre à la dizaine de nanomètres. En outre, s’ils fonctionnent avec un nombre réduit d’électrons et donc de nanocristaux, ces composants peuvent mettre en évidence des effets quantiques se manifestant à ces dimensions nanométriques. Le concept dit « NanoInside », permet le développement d’une technologie hybride qui inclut des objets de taille nanométrique tout en restant compatible avec la technologie CMOS. Ces objets permettront alors d’envisager des applications « grand public », i.e. fonctionnant à température ambiante, du type mémoires flash de nouvelle génération, dispositifs multi-bits, mémoires à un électron [Ref 1], interconnexions optiques de circuits intégrés [Ref 2], dispositifs électroluminescents (DEL). Plusieurs entreprises dans le monde travaillent sur l'intégration de nanocristaux de Silicium dans l'électronique et la photonique (Atmel, Freescale, Intel, Samsung, ST Microelectronics, Infineon…), mais aucun produit n’est actuellement sorti sur le marché. Comme nous croyons que cette technologie a un très fort potentiel de développement, nous avons alors décidé de transférer le fruit de 10 années de recherches au CEMES [Ref 3, Ref 4] et au LPCNO [Ref 5, Ref 6] pour créer une formation à l’AIME destinée à initier les futurs ingénieurs aux développements les plus récents dans les domaines de ces matériaux avancés et des nanotechnologies associées. Le procédé « NANOCRYSTALS INSIDE » créé permet de synthétiser des nanocristaux de silicium de taille inférieure à 5nm de diamètre par implantation ionique basse énergie (≤1keV) dans un oxyde fin de SiO2 (<10nm). En partant d’un wafer de silicium, les étudiants effectuent toutes les opérations de fabrication des composants (photolithographies, gravures chimiques et sèches, oxydations thermiques, dépôts de couches minces de polysilicium et d’oxyde (<10nm), dopage, synthèse des nanocristaux par implantation ionique très basse énergie (≤1keV) et recuit inerte et/ou oxydant, métallisation). Ce procédé, basé sur 4 niveaux de masquage, permet de réaliser et caractériser électriquement des composants mémoires à base de nanocristaux de silicium en une semaine de formation seulement. In fine, le but est de montrer aux étudiants comment une information peut être mémorisée avec des objets nanométriques de façon durable et conservée même sans alimentation.
O12
AIME
I - DES MEMOIRES FLASH A GRILLE FLOTTANTE AUX MEMOIRES A NANO-CRISTAUX ? La technologie Flash fonctionne essentiellement par stockage d’électrons dans une couche mince de Poly-Silicium (poly-Si) dopée N, enfouie dans un oxyde et située sous une grille de contrôle d’un transistor Métal Oxyde Semi-conducteur (MOS). Cette couche mince de poly-Si, déposée par CVD et appelée «grille flottante», est électriquement isolée du canal et de la grille de contrôle par le diélectrique (oxyde, nitrure de silicium,…) environnant.
(a) (b) (c) Figure 1 : (a) Schéma en coupe d’un composant élémentaire d’une mémoire Flash (b) Principe de fonctionnement d’une mémoire Flash : écriture et effacement de la mémoire, (c) caractéristiques IDS (VGS) correspondant aux états b et c. On distingue alors trois modes de fonctionnement de ce composant: Premièrement, l’écriture qui consiste à injecter des charges venant du canal du semi-conducteur dans la grille flottante. Actuellement, les tensions utilisées sont généralement supérieures à la dizaine de volts, à cause des épaisseurs d’oxyde de contrôle et tunnel. Deuxièmement, l’effacement qui consiste à éjecter vers le semi-conducteur les charges précédemment stockées dans la grille flottante. La tension utilisée est du même ordre de grandeur que pour l’opération précédente mais de signe opposé. Troisièmement, la lecture qui se fait à une tension de grille intermédiaire qui permet de connaître, par mesure du courant de drain à une tension drain source constante, l’état de charge de la mémoire. Les charges stockées induisent alors un décalage de la tension de seuil du composant (Figure 1). Lorsque la cellule est effacée le canal est conducteur et le transistor est « ON ». Lorsque la mémoire est écrite, le canal est fermé et le courant entre les deux électrodes source et drain est quasi-nul, et le transistor est « OFF ».
Remplacement de la grille flottante : Le remplacement de cette grille flottante continue par une grille granulaire présente de nombreux avantages. La Figure 2 présente les différences entre la structure d’une mémoire Flash actuelle et celle d’une mémoire à nanocristaux, telle que proposée par Tiwari et al [Ref 7].
Figure 2: Composant à grille flottante continue (a) et à grille flottante granulaire à nano-cristaux (b).
Alors qu’un seul défaut dans l’oxyde déchargera l’intégralité de la grille flottante, le même défaut situé sous le plan de nanocristaux ne déchargera qu’un nombre limité de nano-cristaux. Il est alors possible de réduire l’épaisseur d’oxyde tunnel et ainsi diminuer directement les tensions et vitesses de programmation, ce qui aura pour conséquence supplémentaire d’abaisser le nombre de défauts générés (e.g. SILC) et donc d’augmenter la fiabilité des composants. De plus, le nombre de cycles d’écriture/effacement actuellement limité à 106 pourrait alors être augmenté d’un ou plusieurs ordres de grandeur.
O12
AIME
D’un autre côté, l’oxyde d’injection doit demeurer suffisamment épais pour que la charge reste stockée dans les nano-cristaux pendant une durée supérieure à celle imposée par les industriels (typiquement 85% de la charge restante après 10 ans). II - METHODE DE FABRICATION DES MEMOIRES A NANO-CRISTAUX : Parmi toutes les techniques développées dans les dix dernières années, la synthèse par implantation ionique basse énergie (ULE -IBS) est l'une des plus prometteuses. En effet, il a été prouvé qu’elle permet de générer des réseaux auto-organisés de plans bi-dimensionnels de nanocristaux de Si dans des couches minces de SiO2 à une distance de tunnel direct de l’interface SiO2/Si [1]. En particulier, la fabrication par ULE-IBS est très attrayante en raison de sa capacité à contrôler la taille et la position de la bande de nanocristaux, tout en restant compatibilité avec la technologie CMOS standard. Dans la pratique, on réalise une implantation ionique de Si à forte dose (généralement 1016 cm-2) à très faible énergie (généralement 1 keV) dans une couche de SiO2 très fine (<10nm d'épaisseur). L’inconvénient majeur de la technique est indéniablement l’endommagement de la cible durant le ralentissement des ions. Les dommages créés par l’implantation peuvent être réparés (on parle alors de guérison) par un recuit thermique qui suit l’implantation à T=900-1000°C sous atmosphère N2 et/ou N2/O2 [Ref 8]. II- 1 PROCEDE « NANO-INSIDE » : Le procédé d’élaboration par ULE-IBS des nano-cristaux et des transistors MOS à nanocristaux de silicium proposé ici est schématisé sur la figure suivante : Implantation Si+
1. Oxydation du Si
2. Ouverture oxyde
3. Oxydation sèche eSiO2~7nm à 10nm
5. Recuit de synthèse des ncs de Si
9. Dépôt SiO2
6. Dépôt poly-silicium
10. Ouverture des contacts
7. Gravure poly & SiO2
11. Métallisation
4. Implant. basse énergie E=1keV, d=1x1016 cm-2
8. Diffusion phosphore
12. Gravure métal + poly-Si et SiO2 backside
Figure 3: étapes du procédé de réalisation des transistors à nano-cristaux de Silicium.
Il comporte 12 étapes technologiques correspondantes à seulement 4 masques de photolithographie. Les verrous technologiques à faire sauter, pour développer le procédé, concernaient essentiellement les étapes 3, 4, 5 et 8, ce qui revient à maitriser : l’oxydation sèche de fine couche de SiO2 <10nm, l’implantation ionique basse énergie (<1keV), le recuit de synthèse en milieu légèrement oxydant, la diffusion du phosphore dans une très fine couche de SiO2. Des simulations et des expériences nous ont permis de valider les meilleurs paramètres à utiliser pour la réalisation des composants de type mémoires MOS. En particulier, nous avons travaillé sur le dopage de la grille par le phosphore, l’épaisseur de poly-silicium en relation avec les caractéristiques cibles de nos composants, l’épaisseur de SiO2 ainsi que sa qualité diélectrique, la dose d’implantation… Cela débouche sur un procédé fonctionnel qui est maintenant utilisé par les étudiants lors de leur formation en salle blanche à l’AIME.
O12
AIME
Des plaquettes ont alors été réalisées par les étudiants selon les conditions suivantes: implantation ionique de Si (E=1 keV, dose=1x1016 at/cm2) dans une couche de SiO2 de 7 nm sur un substrat de Si de type P (1016 at/cm3) et recuits à 950°C sous N2 durant 90 min et 950°C sous N2 + 6.5%O2 durant 60 min. II - 2 PROPRIETES STRUCTURALES DES NANO-CRISTAUX DE SI DANS SIO2 : Les Figure 4a, b, c, d présentent les caractéristiques structurales des composants réalisés par les étudiants :
Figure 4: (a) Image en section transerve par microscopie électronique haute résolution d’un nanocristal de Silicium dans SiO2. (b) Schéma d’un transistor MOS à base de nanocristaux de Silicium dans SiO2 (c) Image en section transerve du plan de nanocristaux synthétisé à basse énergie => localisation (d) Image en vue plane par microscopie électronique d’une population de nanocristaux de Silicium dans SiO2
II-3 PROPRIETES ELECTRIQUES DES NANO-CRISTAUX DE SI DANS SIO2 : Les étudiants réalisent alors deux types de caractéristiques : 1 - Des caractéristiques « classiques » (e.g. ID(VGS), IDS(VDS), C(V)…), sur des composants de type transistors et des capacités MOS reliés à un analyseur paramétrique de semi-conducteurs. Ces caractéristiques leurs permettent d’obtenir les valeurs de mobilité, de gain, d’épaisseur d’oxyde, de densité de pièges d’interface, de tension Flat/Bande VFB, tension de seuil VT... 2 - Des caractéristiques « mémoires » pour lesquelles a été développé un tout nouveau banc de caractérisation inspiré du montage d’Ohzone et al. [Ref 9]. Il permet de tester les caractéristiques de rétention, d’endurance, de fenêtre mémoire…
(a)
(b)
(c)
Figure 5: (a) banc de mesure des mémoires, de gauche à droite la testeuse sous pointes, l’alimentation, le GBF et l’oscilloscope numérique. (b) schéma électrique du montage pour mesurer les cycles écriture/effacement (E/W), (c) VG et VS obtenus par ce montage (sinusoïdes) observés sur l’oscilloscope numérique. Les puces élémentaires ont alors été soit positionnées sur un testeur sous pointe, soit montées en boîtiers (TO5) et ont été testées à l’aide du banc de manipulations de test des mémoires
O12
AIME
comportant un GBF (générateur basse fréquence), une alimentation U/I et un oscilloscope numérique (Figure 5a). La figure suivante présente les caractéristiques mémoires des composants :
2 1,8
ΔVT =f(T)
1,6 1,4
VGS=±20V VDS=0,2V
1er cycle en T 2nd cycle en T
1,2
ΔVT (V)
TCC f=75Hz
30°C
1 0,8 0,6 85°C
0,4
(i)
0,2 0 25
35
45
55
65
75
85
95
Température (°C)
Figure 6: (f) ID(VGS) du transistor MOS pour une tension de grille alternative VGS de 20Vcc chargeant et
déchargeant les nanocristaux. (g) Évolution de la fenêtre mémoire ∆VT(V) en fonction de la fréquence (h) Endurance de la mémoire à f=75Hz, pour 106 cycles W/E à température ambiante et pour différentes VG=+/- 20, 15 et 10, (i) évolution de la fenêtre mémoire en fonction de la température.
La Figure 6f présente les caractéristiques ID=f(VGS) obtenues lors d’une mesure d’un cycle d’écriture/effacement à VGS=+/-20V cc à une fréquence de 103,5 Hz. Le décalage en tension, nommé fenêtre mémoire ΔVT, reflète la charge totale formée par les électrons dans les nanocristaux. Le test appliqué à un composant identique ne comportant aucun nanocristaux ne présente aucun décalage de tension VGS. La Figure 6g présente l’évolution de cette fenêtre mémoire ΔVT en fonction de la fréquence f variant entre 1Hz et 100kHz pour VG = ±20V, VDS=0.2V. Cette figure montre que dans ces conditions le ΔVT maximal est d’environ 0.5V obtenu pour une fréquence d’environ 75 Hz, à VG = ±20V. Il demeure ensuite en très légère décroissance jusqu’à environ 10kHz pour retrouver à 1MHz le niveau f=1Hz. On peut alors estimer qu’il s’agit là de la fréquence maximale d’utilisation de cette mémoire est d’environ 1MHz. Nous avons alors fixé la fréquence donnant la fenêtre mémoire maximale (f=75Hz) et fait subir au composant un test d’endurance d’environ 106 cycles d’effacement/écriture (E/W). La Figure 6h montre que la fenêtre mémoire reste constante sur l’ensemble des cycles effectués aux différents VGS utilisées +/-10, +/-15, +/-20V respectivement. Elle montre en outre que le ΔVT augmente proportionnellement à la tension de grille. De plus, l’extrapolation de ces courbes à 10 ans de fonctionnement montre que la fenêtre mémoire reste supérieure à 85% de sa valeur initiale. Enfin, la Figure 6i présente l’évolution de la fenêtre mémoire du composant précédent soumis à 106 cycles d’E/W en fonction de la température allant de T=30°C à 80°C. Cette courbe montre que la fenêtre mémoire diminue à mesure que la température augmente mais qu’elle reste non nulle même à T=85°C.
O12
AIME
III - FORMATIONS UTILISATRICES : Le public ciblé par ce stage est hétérogène tant par la provenance et le niveau (IUT, Licence, Masters, Ingénieurs, Formation continue,…) que par la dominante de la formation (électronique, physique, matériaux,…). IV - UN PROJET EVOLUTIF : Cette technologie est vouée à se développer puisqu’elle est en lien direct avec la recherche menée au CEMES et au LPCNO par plusieurs chercheurs, maitre de conférences et doctorant. Par exemple, nous implémenterons bientôt dans ce stage l’implantation ionique basse énergie couplée à la technologie STENCIL [Ref 6] développée par le LPCNO/CEMES et l’EPFL et permettant de localiser la synthèse de nanocristaux. Le but ultime sera de ne fabriquer qu’une seule nanoparticule dans la grille en contrôlant au mieux sa taille et sa position. Puis, des applications optiques pourront naître en utilisant l’émission des ces nanocristaux de silicium dans le visible. V - CONCLUSION : Nous avons créé une formation pratique à l’AIME nommé « NANOCRYSTALS INSIDE » qui permet la synthése de nanocristaux de silicium par implantation ionique basse énergie (≤1keV) dans un oxyde SiO2 ultra-fin (<10nm). Les étudiants utilisent alors un procédé basé sur 4 niveaux de masquage pour réaliser des composants mémoires de type N à grille polysilicium auto-alignée. Le procédé peut être réalisé en 8 demi-journées où les étudiants effectuent, les différentes caractérisations physique (épaisseurs des couches, résistivité, profondeur de jonction), et les différents tests électriques des structures réalisées: composants élémentaires (diodes, résistances, capacités et transistors MOS) et des circuits intégrés mémoires (lecture, écriture et effacement, endurance, rétention…). Les caractéristiques obtenues montrent que les composants réalisés par
les étudiants satisfont aux critères que l’industrie microélectronique impose à une mémoire, i.e. de conserver l’information stockée pendant 10 ans en gardant typiquement 85% de la charge initiale. REFERENCES: Ref 1K. Yano, T. Ishii, T. Hashimoto, T. Kobayashi, F. Murai, and K. Seki IEEE Trans. Electron devices ED 41, 1628 (1994) Ref 2 D.A.B. Miller “Physical reason for optical interconnect, Journal of Optoelectronics, 1997, Vol11, pp.155168. Ref 3 C. Bonafos, M. Carrada, N. Cherkashin, H. Coffin, D. Chassaing, G. Ben Assayag, A. Claverie, T. Müller K. H. Heinig, M. Perego, M. Fanciulli, P. Dimitrakis, and P. Normand, J. Appl. Phys. 95, 5696 (2004). Ref 4 P. Normand, P. Dimitrakis, E. Kapetanakis, D. Skarlatos, K. Beltsios, D. Tsoukalas, C. Bonafos, H. Coffin, G. Benassayag, A. Claverie, V. Soncini, A. Agarwal, Ch. Sohl, and M. Ameen, Microelectron. Eng. 73–74, 730 (2004). Ref 5 C. Dumas, J. Grisolia, G. BenAssayag V. Paillard, J. Brugger et al. Phys. Stat. Sol. (a) 204, 487-491 (2007). Ref 6 C. Dumas (2008) : Synthèse par implantation ionique, adressage, caractérisations électriques et optiques d’un nombre réduit de nanocristaux de Si dans SiO2. Institut National des Sciences Appliquées de Toulouse : http://eprint.insa-toulouse.fr/archive/00000248/ Ref 7 Tiwari S, Rana F, Hanafi H I, Hartstein A, Crabbe E F and Chan K 1996 Appl. Phys. Lett. 68 1377 Ref 8 Normand P et al 2001 Nucl. Instrum. Methods Phys. Res. B 178 74 Ref 9 Erase/Write Cycle Tests of n-MOSFET’s with Si-Implanted Gate-SiO2 -Takashi Ohzone, Toshihiro Matsuda, and Takashi Hori, Senior Member, IEEE IEEE Transactions on electron devices, Vol. 43, No 9, Sept. 1996
O12
MIGREST
Conception de SoPC pour applications multimédia Auteurs : Michael Guarisco, Nicolas Marques, Eric Dabellani, Yves Berviller, Hassan Rabah, Serge Weber Laboratoire d’Instrumentation Electronique de Nancy. Faculté des Sciences et Technologies, BP 70239 - 54506 Vandoeuvre-les-Nancy Cedex
Résumé : Les travaux présentés sont menés dans le cadre de la formation de Master « Systèmes Embarqués et Energie » spécialité « électronique embarquée et microsystèmes ». Les systèmes sur puce appliqués au multimédia constituent un enjeu important pour la formation notamment en raison d'un nombre important et récurrent de sujets de stage traitant de ces aspects. Le codage vidéo H.264/AVC et son extension scalable SVC sont deux standards de compression vidéo d’une grande efficacité. Ils trouvent désormais leur application dans des domaines très vastes tels que la diffusion de contenus multimédia sur des réseaux type ADSL ou de la télésurveillance. Ce type de codage étant très complexe, la conception et la vérification d'un encodeur/décodeur constituent un très bon exemple de synthèse en conception de SoC.Il nécessite le recours à un ou plusieurs processeurs et de nombreux IP matériels afin de permettre un traitement en temps réel. La quantité importante de données à traiter permet également de mettre en évidence les limites de la simulation. La chaîne devra permettre le streaming de vidéo codé en H.264. A ce niveau, l’étudiant compare les performances et les ressources utilisées entre un codage software d’une part et hardware d’autre part. L’encodage software peut tirer partie des ressources contenues dans un PC, alors que l’encodage hardware met en œuvre une carte de développement contenant un circuit de type FPGA. Après transmission sur support Ethernet à la réception plusieurs scénarii sont proposés en fonction des terminaux de visualisation domestiques : téléviseur full HD, téléviseur SD, plateforme PC, terminaux mobiles à faible définition (smartphone …). En fonction du terminal un transcodage sera nécessaire et fait également l’objet de mise en œuvre d’IP blocs.
1. Introduction Le standard de compression d’image H.264 (autrement appelé MPEG-4 part 10) est un standard émergent dont l’utilisation ne cesse de progresser. Cette condition est propice à une étude du standard par les étudiants qui pourront alors se familiariser avec les techniques de codage vidéo et à la complexité croissante des algorithmes de traitement des signaux vidéo. Les étudiants disposeront au préalable d’un réseau dédié dont les terminaux variés permettront de traiter l’image (figure 1) : de l’encodage au décodage en passant par le transcodage. Le nombre grandissant de terminaux permettant de visualiser du contenu multimédia et surtout leur hétérogénéité en termes de résolution ou capacité de calcul amène le point du transcodage à devenir un élément majeur dans la transmission de la vidéo.
2. Encodage H.264 L’étude de l’encodeur H.264 est un travail préliminaire important afin que les étudiants se familiarisent avec ce standard. L’étude se compose de plusieurs parties. Dans un premier temps, on se concentrera sur P10
MIGREST
la compression du schéma bloc haut niveau (figure 2). Les fonctions clés du standard seront étudiées séparément par les étudiants au point de vue fonctionnel dans un premier temps grâce soit à une modélisation matlab, soit en utilisant l’algorithme de référence écrit en C et fourni par le consortium UITT (Union Internationale des Télécommunication, secteur de la normalisation des Télécommunication [1]). Puis l’étude se portera sur les IPs VHDL développées au LIEN. Les codes sources matlab ou C seront exécutés sur une plateforme de type PC alors que les IPs matérielles seront implémentées sur FPGA Xilinx Virtex-6. Une étude comparative entre les performances et besoins matériels de chaque implémentation devra être menée par les étudiants. La validation fonctionnelle sera faite grâce à des testbench VHDL, étudiés depuis Modelsim.
Figure 1: Réseau de transmission de contenu audiovisuel compressé
Cette étape de vérification donnera aux étudiants les clés pour la compréhension et la réalisation de méthodes de test efficaces lors de la conception numérique de modules décrit en langage haut niveau. Des simulations permettront de définir la latence et le temps de traitement en termes de cycles d’horloge de chaque module. Les IPs seront majoritairement fournies aux étudiants sauf pour la fonction de transformée entière d’H.264 sur laquelle un travail de conception et d’adéquation algorithme/architecture sera demandée. Ainsi, les étudiants auront en charge le développement et la vérification complète d’un module VHDL avec les choix architecturaux déterminants les performances. Au-delà de l’aspect fonctionnel des blocs constituant l’encodage H.264, le standard nécessite un contrôle important au niveau système.
P10
MIGREST
Image courante Fn
+
T
ZZ
Qp
Codage Entropique
-
Estimation Mouvement
NAL
Image de référence F’n-1
Compensation
Inter
Mouvement
T : Transformée entière T-1 : Transformée entière inverse Choix Intra Prédiction
Intra Prédiction
Q p : Quantification (p : paramètre de quantification)
Intra
-1
Q p : Quantification inverse ZZ : Réorganisation en zig-zag NAL : Network Abstract Unit Image reconstruite
+
Filtre
+
T-1
Q-1 p
F’n
Figure 2: Schéma fonctionnel d'un encodeur H.264
Dans un premier temps l’acquisition des données de chaque image et le traitement spécifique par bloc des méthodes de compression de l’image demande une bonne compréhension des aspects de hiérarchie mémoire, aspects qui seront abordés lors de la réalisation de l’encodeur au niveau système. Certaines fonctions du standard nécessitant à la fois les données d’entrée brutes et des données issues d’une boucle de codage/décodage, un décalage temporel est inévitablement présent entre les entrées de ces fonctions. C’est le cas notamment des prédictions INTRA et INTER qui utilisant soit l’image courante soit des images passées. De ce fait, une analyse temporelle devra être apportée afin de comprendre la succession des étapes de codage et la mise en place d’un contrôleur général permettant de cadencer les données. Outre les aspects d’encodage, les étudiants devront également se familiariser avec des notions de réseau informatique puisque le flux encodé devra être transmis sur IP. Les étudiants disposeront de terminaux de visualisation hétérogènes en bout de chaîne. A partir de cette hétérogénéité, les concepts d’adaptation vidéo, de transcodage et de transrating pourront être abordés.
3. Transcodage Vidéo a. Traitement du standard non scalable Nous travaillerons dans un premier temps avec le standard H.264/AVC (Advance Video Coding) qui présente une couche simple de codage (contrairement à son extension SVC encodée sur plusieurs couches pouvant être éliminées lors de la transmission afin d’allégé le débit de transmission). Nous aborderons essentiellement deux problématiques : le changement de résolution spatiale et les capacités en termes de bande passante d’un canal de transmission donné. La figure 5 décrit l’implémentation sur carte de prototypage Xilinx du transcodeur. La carte servant de transcodeur est détaillée (contrairement à la carte utilisée pour le décodage) et contient, comme l’indique le schéma, un bus partagé par les IPs de communication (réseau ou mémoire) notamment ainsi que par les IPs de transcodage qui acquièrent les données via le PLB et les renvoient sur ce même bus.
P10
MIGREST
Réseau Program data BRAM
10/100 Ethernet MAC
&
µBlaze
Décodage Processor Local Bus (PLB)
Multi-Port Memory Controller (MPMC)
IPs de Transcodage
Mémoire Externe (DDR2) Figure 3 : Architecture globale du transcodage
Les figures 4 et 5 détaillent les éléments pouvant être implémentés dans le bloc noté « IPs de Transcodage » de la figure 3. La figure 7 détaille les liaisons du transcodeur avec le bus PLB. Un séquenceur de donnée apparait également dans le diagramme et permet de synchroniser les données transitant dans l’architecture. Par souci de lisibilité, tous les modules ne sont pas reliés à ce séquenceur même s’ils le sont en réalité. Le contrôle global des modules IPs et du séquençage des données à l’intérieur de l’architecture de transcodage est un point crucial et cette application de codage/décodage est intéressante à étudier pour cet aspect. L’optimisation de l’algorithme de transcodage sera également mis en avant. La modification de la résolution d’une séquence vidéo peut être réalisée de plusieurs façons au niveau d’un transcodeur. De même, afin de permettre à un flux vidéo d’être transmis à un terminal via un canal dont la bande passante serait inférieure à celle nécessité par le débit de ce flux, le débit binaire du flux peut être réduit. Typiquement, on change les paramètres de quantification des coefficients obtenus par transformée. Cette modification impacte sur la qualité de la vidéo transmise. Plus le débit aura été réduit de cette façon, plus la qualité de la vidéo sera dégradée. Ce changement de résolution ou de débit binaire peut être réalisé grâce à plusieurs architectures de traitement. Principalement, l’étude portera sur deux de ces architectures. La première est dite full decode/full recode. Elle permet de décoder le signal jusqu’au niveau pixel puis de le réencoder avec de nouveau paramètres de quantification ou de résolution si un module de décimation spatiale a été introduit entre les deux modules de décompression totale et recompression. L’architecture est dite cascadée dans le domaine pixel et correspond à la figure 4. Cette architecture présente beaucoup de fonctions complexes redondantes, notamment la compensation de mouvement et la prédiction INTRA. Pour simplement réduire le débit binaire, il est nécessaire de réaliser une requantification des coefficients transformés (par DCT ou transformée entière). Cette requantification s’opère en modifiant le paramètre de quantification noté Qp utilisé dans les modules Q (quantification) et IQ (quantification inverse). Dans le cas de la figure 4, pour réduire le débit, le Qp de Q2/IQ2 devra être supérieur au Qp de IQ1, ceci permettant une compression plus importante avec pour conséquence une dégradation de la qualité de l’image. La figure 5 présente quant à elle une optimisation de la modification de débit par requantification. Cette approche qui sera étudiée par les étudiants permet d’optimiser les ressources nécessaires à la réalisation d’une architecture de réduction du débit binaire.
P10
MIGREST
Figure 4: Modèle de transcodage dans le domaine pixel cascadé
Processor Local Bus (PLB)
Contrôleur lecture/écriture mémoire externe
FI FO
L*
VLD
IQ1
Séquenceur données
+
VLC
Q2
DCT
IQ2 +
-
IDCT
* Lecture FIFO au rythme du décodage
MC
Buffer image
+
Figure 5: Modèle de transcodage optimisé
b. Introduction à la scalabilité vidéo Les étudiants seront également amenés à traiter le cas du codage scalable et par conséquent l’utilisation de l’extension de H.264 dédiée, SVC (Scalable Video Coding). Cette extension permet le codage H.264 par couche (figure 6). Après avoir étudié le mécanisme d’encodage par couche, les étudiants se verront dotés d’un encodeur SVC simple permettant l’encodage d’une couche de base, une couche de réhaussement qualitatif et une dernière couche de réhaussement spatial. Au niveau du transcodage, toujours à partir des spécificités de la ligne de transmission et du terminal de visualisation utilisé, l’architecture en charge des modifications devra adapter le flux à la résolution du terminal et des capacités de la ligne de transmission. Pour ce faire, un transcodage de SVC vers AVC sera effectué afin de permettre aux terminaux pourvus uniquement de décodeur AVC. Le transcodage devra donc filtrer
P10
MIGREST
certaines couches pour ne conserver que les couches utiles et à partir de ces couches reconstruire un flux entièrement compatible AVC.
4. Décodage H.264 Le décodage H.264 devra s’effectuer de différentes manières selon le terminal utilisé, soit de manière logicielle sur une plateforme PC ou smartphone, soit matériellement grâce à une nouvelle carte FPGA reliée à un moniteur HD, encore une fois, une étude des performances de chacune de ces solutions devra être menée. SVC Encoder
AVC Decoder
SD basse qualité (couche de base)
Vidéo originale SVC Decoder
SD haute qualité
SVC Decoder
HD Figure 6: Encodeur SVC à 3 couches
5. Conclusion Dans ce projet ambitieux, nous offrons aux étudiants une étude complète d’un système de codage de l’image très actuel. Au travers de ce projet seront abordés beaucoup de notions concernant la réalisation, le test et l’intégration de briques matérielles pour finir par la réalisation d’un système complet et fonctionnel. Au-delà de ces aspects méthodologiques, l’utilisation des outils de conception/simulation et notamment des outils Xilinx ISE/EDK et Modelsim sera enseignée aux étudiants. Le codage vidéo restant une problématique importante et un thème de recherche toujours très actuel, ce choix permet d’apporter aux étudiants des notions importantes dans le domaine et leur permettra de s’insérer plus aisément dans des entreprises spécialisées dans le domaine et dont les offres de stages et d’emplois sont nombreuses. 6. Références [1] Recommandation UIT-T H.264, Codage vidéo évolué pour les services audiovisuels génériques (Mars 2005). [2] Iain Richardson, H.264 and MPEG-4 Video Compression, Wiley (2003).
P10
PLFM
TER (TRAVAIL D'ETUDE ET DE RECHERCHE) EN MASTER 1: ETUDE DE PROFILS DE GRAVURE DU NITRURE DE GALLIUM GaN A L’AIDE DE L’AFM Virginie Hoel, François Lecourt, Farida Bendriaa, Henri Happy Institut d'Electronique, de Microélectronique et de Nanotechnologie - DHS Avenue Poincaré - B.P. 60069 - 59652 Villeneuve d'Ascq Cedex E-mail:
[email protected] I- INTRODUCTION Dans cette communication, nous présentons les résultats d'un projet de TER (Travaux d'Etude et de Recherche) soutenu en juin 2010 par un binôme d'étudiants (nommés étudiant A et étudiant B) de niveau M1 du master MiNT (Micro-Nanotechnologies et Télécommunications) de l'Université Lille1. L’ensemble des informations concernant la formation du Master MiNT sont disponibles à l’adresse suivante : http://master-mint.univ-lille1.fr/ Ce projet comporte deux aspects. Le premier repose sur un travail avec les étudiants autour la technologie des composants au travers de l’étude de profils de gravure d’une profondeur de l’ordre d’une dizaine de nanomètre en utilisant l’AFM (microscope à force atomique (AFM) diCaliber de la société Veeco) disponible dans la salle blanche du pôle CNFM de Lille. Le second objectif est de parvenir à sensibiliser les étudiants à la recherche afin de mettre en évidence des compétences telles que : (1) la gestion du temps, planification, tenue des délais, (2) le travail en autonomie, (3) l’interprétation de documents ou de résultats, (4) le travail en équipe, et enfin (5) la capacité d’adaptation et d’innovation. Les étudiants sont sensibilisés à la démarche du chercheur avec des difficultés à des degrés divers : appréhender la structure du composant, puis du contact ohmique, analyser la structure de la couche transistor afin de comprendre l’intérêt de l’étape de gravure, la nature des contacts ohmiques, localiser les trous tout d’abord à l’aide du logiciel de dessin layout editor, puis à l’aide de l’AFM. Ils sont confrontés à la difficulté de visualiser une marche dans le semiconducteur de 10 nm de profondeur sans motifs de repérage. Ce travail s’appuie sur des études menées dans le cadre des travaux de thèse de M. François Lecourt. II- PRESENTATION DU PROJET Il s’agit d’un travail expérimental comportant plusieurs phases. Il s’est déroulé dans la salle blanche du pôle CNFM de Lille sur la paillasse AFM (Figure 1) : Phase 1 : recherche bibliographique et compréhension du transistor HEMT
Cette première phase a consisté à définir le sujet lors d’une séance de travail afin de présenter les différentes étapes technologiques et le fonctionnement du transistor. Ce travail a été suivi d’une recherche bibliographique menée par les étudiants. Ils ont ainsi affiné la découverte du projet, et identifier clairement les contacts ohmiques et les verrous technologiques. L’étude porte sur la réalisation d’un réseau de trous situé en dessous du contact ohmique. L’aspect très rugueux de la surface du contact ohmique après recuit est très souvent observé sur GaN. Le contact est recuit à 840 °C et à cette température, la formation d’agrégats est très marquée. Par conséquent, les objectifs sont d’améliorer à la fois la rugosité et la résistance de contact R c (.mm) sans alourdir le procédé technologique. Pour la résistance du contact, la présence d’un cap layer non dopé très épais, est une contrainte très importante. Afin de réaliser un contact ohmique performant, il est nécessaire de graver le semi-conducteur avant la métallisation. On approche ainsi la métallisation P31
PLFM
du gaz 2D ce qui permet de diminuer la résistance de contact Rc. L’inconvénient de l’étape de gravure vient du non contrôle en temps réel de l’épaisseur gravée. Le souci, dans ce cas, est de trop graver et de passer au travers du gaz 2D. Le masque comporte différents motifs de trous, dessinés à l’aide du logiciel layout editor. Les motifs sont écrits par le masqueur électronique. Il s’agit de ces réseaux de trous gravés que les étudiants observent à l’aide de l’AFM. Phase 2 : mesure et caractérisation des motifs gravés de profondeur nanométrique
Premier niveau de difficulté : observation au microscope optique L’étude porte sur l’analyse de la gravure du semiconducteur de type nitrure de gallium GaN (profondeur, propreté, profil des bords …). L’objectif est de déterminer les meilleurs paramètres de gravure. Les motifs sont difficilement indentifiables. Il est indispensable de faire le lien avec le masque et de repérer au préalable la position des marches dans le semiconducteur (Figure 2). Les étudiants positionnent l’échantillon sous le microscope afin de repérer la zone à mesurer à l’AFM. Une fois que la binoculaire est placée sur la zone identifiée d’après le masque, ils font varier la polarisation de la lumière afin d’identifier les motifs rectangulaires gravés dans le GaN. Une fois cette étape réalisée, ils prennent conscience de la difficulté du travail à faire à l’AFM, ainsi que de la taille du motif et des échelles nanométriques. Deuxième niveau de difficulté : observation AFM La suite du travail repose sur la visualisation à l’AFM de ces motifs. A ce stade du travail, les étudiants se rendent compte de l’importance du pré-positionnement de l’échantillon pour que le balayage de la pointe AFM se fasse bien sur les motifs gravés. Il est important de tenir compte de la limite des courses des micromanipulateurs en x et en y qui commandent la platine sur laquelle est fixée l’échantillon.
Figure 1 : Photo de la paillasse AFM et de ses différents équipements Motifs d’alignement
Figure 2 : Motifs d’alignements, du masque (à gauche), visualisés à la caméra de l’AFM (à droite)
P31
PLFM
Troisième niveau de difficulté : rugosité du contact La mesure de la rugosité du contact est faite par les étudiants afin d’illustrer l’une des problématiques de ce sujet. Comme nous pouvons le voir sur la figure 3, la mesure faite à l’aide de l’AFM Veeco (diCaliber) par les étudiants. Cette mesure montre une rugosité de la métallisation après recuit très significative liée à la température de recuit de 840°C évoquée dans la phase 1.
Figure 3 : Image AFM 150x150 µm² des contacts ohmiques et de leur rugosité
Quatrième niveau de difficulté : la surface du semiconducteur La nature du nitrure de gallium rend également l’analyse des mesures AFM difficile. En effet, en raison de plusieurs facteurs tels que la cinétique de croissance et la technique de croissance, la surface du matériau GaN peut présenter une rugosité de la surface qui se développe lentement avec une topographie en collines larges de 1 μm et de hauteur moyenne (rms) avoisinant 5 nm. La cinétique de développement de cette rugosité est alors dénommée rugosité cinétique. Cet état de surface est visible pour une surface de 10x10 µm sur la figure 4. A partir de cette mesure, les étudiants se rendent compte de la difficulté de mesurer une marche de 10nm de profondeur.
2.0µm Figure 4 : Image AFM 10x10 µm² des états de surface du Nitrure de Gallium
L’approche a été faite de la façon suivante. Après le premier scan de 100x100µm², les étudiants sont passés à une surface plus faible de 50x50µm². Ces étapes sont reportées figure 5.
P31
PLFM
Figure 5 : Images AFM 100x100 µm² (à gauche) et 50x50 µm² (à droite) de la gravure
Après avoir identifié les grands rectangles, les étudiants ont affiné les réglages afin de caractériser plus finement la profondeur de gravure. La mesure réalisée par les étudiants est reportée figure 6. En positionnant les curseurs sur deux collines, une étant dans la zone gravée et l’autre dans la zone non gravée, les étudiants sont remontés à une hauteur de gravure proche de 13 nm.
13nm
Figure 6 : Mesure de la profondeur de gravure
Phase 3 : comparaison mesure en enseignement et mesure en recherche
La gravure est réalisée à l’intérieur d’un bâti de métallisation par un procédé appelé « Ion Beam Etching » qui consiste à envoyer des ions Ar+ à une énergie de 300eV sur la surface du Nitrure de Gallium afin de le graver. Nous avons déterminé à l’aide de différents tests et mesures une vitesse de gravure de l’ordre de 4 nm/min. Les mesures sont confirmées par la caractérisation AFM faite au laboratoire de recherche IEMN (Institut d’Electronique, de Microélectronique et de Nanotechnologie). Nous pouvons voir
P31
PLFM
nettement la marche engendrée par la gravure (Ar+ - 300eV – 2 min) du semiconducteur sur la figure 7.
Figure 7 : Images AFM 5x5 µm² (à gauche) et 2,1x1,4 µm² (à droite) de la marche de gravure
La figure 8 nous indique une hauteur de marche, et donc une profondeur de gravure, d’environ 8 nm.
Figure 8 : Relevé topographique de la surface – Profondeur de gravure
Nous trouvons donc un résultat similaire pour la mesure de la profondeur de gravure entre l’IEMN (mesure du test de gravure Ar+ - 300eV – 2 min) et le pôle CNFM (mesure du test de gravure Ar+ - 300eV – 3 min). Cela indique une très bonne adéquation entre les deux équipements AFM (enseignement di-caliber de VEECO – recherche Dimension 3100 VEECO). Phase 4 : présentation des travaux lors d’un exposé oral et d’un rapport de stage
Les étudiants ont rédigé un rapport qui a été corrigé volontairement par un autre enseignant – chercheur qui n’a pas suivi le binôme pendant le TER. Un des éléments remarquable a été l’absence de légende et d’échelle indiquant la taille des surfaces scannées dans le traitement des images. En ce qui concerne la soutenance, les étudiants ont fait une bonne prestation orale devant le jury avec un projet relativement bien structuré montrant leur progression dans le TER. La réponse aux questions a également été satisfaisante pour les deux étudiants.
P31
PLFM
III- LE DEVENIR DES ETUDIANTS Avant de faire ce travail, l’étudiant A n’avait pas d’avis sur la poursuite de ses études. Il avait déjà suivi le module d’initiation à la mesure AFM. L’étudiant B souhaitait poursuivre ses études dans le domaine des télécommunications. Ce dernier n’avait pas suivi le module d’initiation à l’AFM du master 1. Ces deux étudiants ont validé leur première année de master et poursuivi leur cursus à l’Université Lille1. L’étudiant A a intégré le master MiNT spécialité MicroNanotechnologie tandis que l’étudiant B a intégré le master MiNT spécialité Télécom.
IV- CONCLUSION Ce projet d’étude a permis aux étudiants de s’initier à la formation par la recherche. Ils ont rapidement acquis une autonomie dans leur travail, et ont montré une progression continue tout au long du projet. Ils ont acquis une très bonne connaissance des techniques de mesures en champ proche. De ce fait ils ont été rapidement autonomes. L’utilisation de l’AFM leur a permis d’améliorer leur connaissance sur le fonctionnement du microscope à force atomique.
P31
MIGREST
Initiation à la conception d’un réseau de communication sur puce (Network on Chip) tolérant aux fautes Cédric Killian, Camel Tanougast, Fabrice Monteiro, Camille Diou et Abbas. Dandache CNFM – Pôle Grand Est : MIGREST Laboratoire des Interfaces Capteurs et Microélectronique (L.I.C.M.) Université Paul Verlaine de Metz, LICM-ISEA, 7 rue Marconi, 57070 Metz Technopole Email : {cedric.killian, camel.tanougast}@univ-metz.fr 1. INTRODUCTION ET CONTEXTE Cet article présente un projet pédagogique d'initiation à la détection d'erreurs dans un réseau de communication sur puce (NoC - Network on Chip) pour la conception de NoC tolérant aux fautes, mené avec les étudiants de master 2 GEII (Génie Electrique et Informatique Industriel) - parcours RSEE (Radiocommunication et Systèmes Electronique Embarqués) de l'Université Paul Verlaine de Metz. Etant donné l'évolution rapide et de plus en plus complexe des systèmes sur puce multiprocesseurs (MPSoC - Multiprocessors SoC), l'interconnexion de communication des modules (IP Intellectual Property) constituant ces systèmes constitue une partie fondamentale lors de la conception de tels systèmes. En effet, elle doit répondre à des contraintes de performance et de coût liés à la complexité et l'augmentation croissante de modules ou d'IPs interconnectés. Actuellement un tel réseau de communication sur puce met en œuvre des transmissions de données par paquets vers les nœuds interconnectés au réseau correspondant aux modules ou IPs intégrés au système (processeurs, mémoires, contrôleurs de périphériques reliés, etc.). Cette transmission est réalisée à travers des routeurs (constituant le réseau) en mettant en œuvre des règles d'aiguillage et de routage des paquets de données dans le réseau. Généralement, les performances d'un NoC sont exprimées en termes de bande passante, de latence, de dissipation de puissance et de fiabilité. Cette dernière permet de mettre en avant les aspects et les contraintes liés à la sûreté de fonctionnement d'un NoC (détection d'erreurs des données, utilisation d'algorithmes adaptatifs d'acheminement des paquets) et le surcoût lié aux solutions de mise en œuvre d’une tolérance aux fautes. En effet, les fautes permanentes, transitoires ou temporaires affectent la fiabilité des interconnexions d'un MPSoC [1], entrainant une altération du comportement du NoC et donc une dégradation de ses caractéristiques et performances de qualité de service. Ces types de faute sont donc critiques pour le fonctionnement de systèmes sur puce à base de NoC. Traditionnellement, les mécanismes de détection et de correction d’erreurs sont utilisés pour protéger une structure de communication contre les effets transitoires de dysfonctionnement. Les concepteurs doivent précautionneusement peser le coût d'implantation de ce type de mécanisme pour les infrastructures de communication de données sur puce par rapport aux réels bénéfices qu'ils peuvent apporter. C'est dans ce contexte que nous proposons aux étudiants du parcours RSEE un projet d'initiation à l'intégration de concepts de tolérance aux fautes dans un réseau NoC à l’issu d’un projet de développement, de modélisation et de simulation d’un Réseau NoC [3]. 2 CONCEPTION DE ROUTEURS NoC TOLERANTS AUX FAUTES 2.1. ETUDE DE CAS : détection et correction d'erreurs dans une structure MESH 4x4 A partir d'un fichier contenant la description comportementale VHDL d'une structure NoC de topologie maillée (Mesh 4x4) et d’algorithme de routage X-Y, nous proposons aux étudiants de modifier l'architecture interne des routeurs afin d'y intégrer des modules de détection et de correction d'erreurs de données. Les solutions apportées par les étudiants sont globalement libres mais doivent valider en
P11
MIGREST
termes de qualité les détections de fautes par simulation et évaluer le coût en termes de ressources logiques et de performance induites par les modifications architecturales des routeurs du réseau. A. Description du Model VHDL comportemental de routeurs interconnectés selon une structure MESH 4x4 Le projet repose initialement sur une description comportementale VHDL d’un réseau NoC et de ses routeurs fautifs fourni aux étudiants. Il s’agit d’une description d’un réseau de topologie maillée de taille 4x4 dont l’architecture structurelle d’un routeur est détaillée en Figure 1. Chaque nœud de routage dispose de quatre directions (North, South, East et West) et des interconnexions unidirectionnelles permettant l'envoi et la réception simultanée de paquets de données issus du réseau. Une latence de transmission du routeur proposé est de 2 cycles d'horloge de simulation. La structure des paquets de données à transmettre dans le réseau, les règles de contrôle et de transmission des paquets à travers le model du réseau proposé sont spécifiés aux étudiants et décrits ci-dessous.
Fig. 1. Architecture d’un routeur NoC.
Structure des messages : Afin de faciliter les règles d'échanges des paquets de données entre les routeurs, les paquets sont composés d'un seul flit (mot de données de taille fixe paramétrable). Le réseau étant constitué de 16 nœuds selon un acheminement des paquets de sur les axes X et Y du réseau, un paquet de données est alors constitué de 4 bits d'adressages (2 bits pour la position du nœud selon l'axe X et 2 bits pour la position selon l'axe Y). Un paquet contient 4 bits de données. La figure 2 illustre la structure d’un paquet de données. La taille générale du message est paramétrable via une déclaration générique afin de permettre l'intégration de données de contrôle en vue de l'intégration de concepts de tolérance aux fautes sur les données circulant dans le réseau (voir §.2.2.).
Fig. 2. Structuration d’un paquet de données du réseau NoC –Mesh 4x4. Technique d'aiguillage : La technique d'aiguillage des messages entre les routeurs s'effectue par commutation de paquet (packets switching) de type Store and Forward [2]. Cela signifie qu'un paquet ne peut pas être transféré vers un autre routeur tant que ce dernier ne peut le recevoir dans son intégralité.
P11
MIGREST
Contrôle de flux : Le contrôle de flux des données entre les routeurs est de type ACK/NACK [2]. Plus précisément, une copie de la donnée à transmettre est gardée dans le routeur emetteur (buffer local) jusqu'à ce que le routeur destinataire valide la réception de la donnée. Dans le cas d'une validation positive (ACK), la copie est supprimée. Dans le cas contraire (NACK) la donnée est retransmise. Cependant, dans la version fournie du routeur, il n'y a aucun contrôle de la validité de transmission des paquets. Le routeur est initialement configuré pour effectuer des acquittements permanents. C'est aux étudiants de compléter ce bloc de contrôle (les signaux de contrôle du flux sont déjà présents) afin de demander une retransmission dans le cas d'une réception de données erronées. Algorithme de routage et technique d'arbitrage : L'algorithme de routage initialement implanté dans les nœuds du réseau est de type XY déterministe [3]. La technique d'arbitrage est celle d’une priorité à droite [3]. Lorsqu'un routeur reçoit simultanément plusieurs paquets, le paquet le plus à droite est routé en priorité comme illustré en Figure 3. Si quatre paquets arrivent simultanément dans un routeur, c'est une direction prioritaire préalablement définit par l'utilisateur qui est routé en premier. Dans notre cas d’étude, la direction EAST est définie comme prioritaire dans ce cas de figure.
Fig. 3. Illustration de l'arbitrage par « priorité à droite ». La logique de routage étant centralisée (au sein du routeur), elle ne peut router qu'un seul paquet à la fois. Dans le cas où plusieurs paquets arrivent simultanément, la logique de contrôle du routeur active des signaux indiquant à ses routeurs voisins qu'il est occupé et qu'il ne peut pas recevoir temporairement de paquets de données. Un routeur souhaitant transmettre un paquet doit donc attendre que le nœud destinataire soit disponible. B. Structure fautive à erreurs intégrées du NoC L’objectif de ce projet est de sensibiliser les étudiants aux différents types d'erreurs qui peuvent survenir pendant le fonctionnement d'un NoC, notamment les erreurs permanentes et transitoires. En effet, il est important de distinguer une erreur temporaire d'une erreur transitoire. Car après détection du type d’erreur, la stratégie de mise en œuvre d’une tolérance aux fautes sur l'élément fautif est différente. Par exemple, isolation définitive du nœud comportant une faute permanente, ou demande de retransmission dans le cas d'une faute transitoire. Le réseau NoC fautif proposé aux étudiants contient deux blocs IPs effectuant des envois périodiques (tous les 10 cycles d'horloge) de paquets de données d’un IP1 vers un IP2. Plusieurs erreurs ont été introduites dans la description comportementale du NoC à corriger : •
Une erreur permanente sur 1 bit entre l’interconnexion de l'IP1 et le routeur (1,0).
•
Une erreur permanente sur 2 bits entre l’interconnexion du routeur (1,0) et du routeur (2,0).
•
Une erreur transitoire périodique sur 1 bit entre les interconnexions routeurs [(1,1) ; (1,2)] et routeurs [(2,1) ; (2,2)] tous les 10 paquets de données transmis.
P11
MIGREST
La figure 4 présente la structure fautive de la description VHDL comportementale du réseau proposé aux étudiants.
Fig 4. Réseau NoC fautif de topologie maillée 4x4. Les blocs générant les erreurs permanentes ou transitoires dans le réseau proposé sont implantés sur les bus de données liant les différents nœuds du réseau. L’ensemble des routeurs du réseau ont une structure identique et font appel à un même module VHDL d’instanciation (port map) dans la conception du réseau. Les étudiants doivent uniquement modifier les routeurs pour pallier aux dysfonctionnements survenant dans le réseau dû aux erreurs d’interconnexions intégrées dans la structure du réseau. 2. 2 Conception et implantation d’un routeur tolérant aux fautes A partir de la simulation du réseau initialement proposé et émettant des erreurs lors des échanges de paquets de données entre les deux IPs interconnectés, les étudiants modifient la structure des routeurs afin de les adapter pour une sûreté de fonctionnement. La fiabilité du réseau proposée par les étudiants consiste à chercher à corriger les erreurs de transmission à travers une nouvelle conception des routeurs mettant en œuvre des techniques de détection et de correction d'erreurs en temps réel des paquets de données échangés (cas de fautes transitoires) ainsi que des solutions algorithmiques de contournement des nœuds de défaillance permanente (proposition et implantation d’algorithme de routage adaptatif au sein des blocs de routage des nœuds du réseau). A. Conception VHDL et implantation FPGA du codeur d’Hamming La première partie du travail consiste à détecter les erreurs présentent dans le NoC et de les corriger. Les solutions sont libres, mais l'étudiant se voit proposer une solution simple à mettre en œuvre basée sur l'implantation d'un code correcteur de Hamming et d'une parité. L’ajout de 4 bits de Hamming ainsi qu'un bit de parité, permet de détecter jusqu’à deux erreurs et de corriger une erreur. Ce bloc de détection et de correction est décrit en VHDL et intégré à l’ensemble des routeurs constituants le réseau. De même, des blocs de codage de Hamming sont également implantés dans les blocs IPs de transmission et de réception de paquets de données. Les spécifications de conception du principe du codage et décodage d’Hamming + 1 bit de parité sont décrites ci-dessous. Pour un message initial codé sur 8 bits [D1 D2 D3 D4 D5 D6 D7 D8], 4 bits d’Hamming (P1, P2, P3, P4) et un bit de parité (P5) sont générés pour une transmission finale sur 13 bits dont la structure est donnée dans la table cidessous :
P11
MIGREST
Position des bits Message
1
2 P1
3
4
D1
P2
5
6
D2
P3
7
D3
9
8
D4
10 D6
D5
P4
11 D7
12 D8
13 P5
Le calcul de ces parités repose sur l'hypothèse d'une parité paire entre les bits du massage Di et les bits de parité Pi telle que: P1 = D1
D2
D4
D5
P3 = D2
D3
D4
D8,
P5 = P1
P2
D1
P3
D7 ;
D2
D3
D4
P2 = D1
D3
D4
D6
P4 = D5
D6
D7
D8 ;
P4
D5
D6
D7
D11 ;
D8
Le principe du décodeur de Hamming + 1 bit de parité est réalisé à la réception du message. Les bits de vérification Vi sont calculés de la même manière que pour le codage des bits de parité Pi. Un bit de parité globale P est également calculé. V1 = P1
D1
D2
D4
D5
V3 = P3
D2
D3
D4
D8,
P = P5
P1
P2
D1
P3
D7,
D2
D3
V2 = P2
D1
D3
D4
D6
V4 = P4
D5
D6
D7
D8
D4
P4
D5
D6
D7
D11
D8
A partir d’une analyse des bits de vérification et de la parité globale, des détections et corrections d’erreurs peuvent être réalisées en considérant le mot binaire V = V4 V3 V2 V1. Quatre cas de figure se présentent alors : •
Si V = 0000 et P = 0 : aucune erreur est détectée;
•
Si V ≠ 0 et P = 1 : une seule erreur pouvant être corrigée est détectée. Le codage V donne la position du bit erroné à inverser pour correction (Par exemple V = 0110 signifie inversion du digit à la 6ème position) ;
•
Si V ≠ 0 et P = 0 : deux erreurs sont détectées mais ne peuvent être corrigées ;
•
Si V= 0000 et P = 1 : une erreur est présente sur le bit de parité P.
Dans le cas d'une erreur détectée, le paquet est corrigé et la transmission est acquittée. Dans le cas d’une détection de deux d'erreurs, deux solutions sont alors envisagées :
B.
•
Le routeur est déclaré définitivement fautif. Il est isolé du reste du réseau en activant de façon permanente ses connexions d'indisponibilité aux routeurs voisins.
•
Une mémorisation des syndromes de Hamming (valeurs Vi et P) et une demande de retransmission (NACK) est mise en œuvre. Si lors de cette seconde transmission du message, les mêmes syndromes sont obtenus, alors une erreur permanente est considérée et le routeur est déclaré fautif permanent. Conception VHDL d’un bloc de routage adaptatif : Modification de la logique de routage des routeurs
Lorsqu'un ou plusieurs nœuds ou routeurs (zone) sont déclarés fautifs, une solution consiste à mettre en œuvre un algorithme adaptatif de routage des paquets de données. L’objectif est le contournement de la zone fautive afin de maintenir la qualité de service du réseau tout en fiabilisant le réseau. Les étudiants modifient alors la logique de routage des routeurs afin d'obtenir des acheminements adaptatifs des paquets de données. Une solution simple proposée aux étudiants est l'ajout d'interconnexions supplémentaires entre les routeurs indiquant un état fautif ou non. Si un routeur a ses
P11
MIGREST
indications d'état fautif activées à ses routeurs voisins, sa position est contournée selon des règles de routage à élaborer (voir exemple en figure 5). Les étudiants sont alors sensibilisés aux situations de bouclages (livelock) induit par l'utilisation et le développement d'algorithme de routage adaptatif dit « tolérant aux fautes ». Chacune de ces étapes sont validées par simulation.
Fig 5. Illustration d'une solution d'algorithme adaptatif 2. 3. Implantation et analyse de performances Dans chacune des étapes de la conception du NoC sûr de fonctionnement, des implantations sont réalisées dans une carte de développement FPGA Nios II embedded Kit d'Altera. Des analyses du surcoût en termes de ressources logiques, de latence et de fréquence de fonctionnement, induit à l’intégration des aspects de sureté de fonctionnement à l’architecture des routeurs, sont alors menées. 3. CONCLUSION Cet enseignement propose un projet de conception d’un NoC tolérant aux fautes permanentes et transitoires à travers la détection et la correction d’erreurs de transmission de paquets données circulant dans un tel réseau. L’objectif pédagogique est de sensibiliser les étudiants, au cours d’une conception architecturale de Systèmes sur puce, au rôle fondamental des concepts de tolérance aux fautes sur la fiabilité et les performances des interconnexions d'un MPSoC. La conception et l'intégration sur carte FPGA d'éléments assurant une sûreté de fonctionnement à un système de communication sur puce permet une prise de conscience par les étudiants des phases de développement et de conception microélectronique de systèmes embarqués fiables. Les étudiants développent ainsi leurs compétences conception fiable à travers l’élaboration d’une stratégie de sureté (justification des choix de conception) et d’analyse de l'impact des solutions sur les performances du NoC développé. REFERENCES [1]
C. Constantinescu, "Trends and challenges in VLSI circuit reliability", IEEE Micro, Vol.23, Issue 4, July-Aug. 2003, pp. 14-19.
[2]
G. De Micheli et L. Benini, « Networks on Chips, Technology and tools », Morgan Kaufmann publishers, 2006. C. Tanougast, S. Jovanovic, F. Monteiro, C. Diou et A. Dandache, ” Initiation à la modélisation et cosimulation comportementale C-VHDL d’un Réseau de communication sur Puce (Network on Chip), 10ème JPCNFM, Saint Malo, 26-28 novembre 2008, pp.27-32.
[3]
P11
PACA
UN CONTROLEUR ASYNCHRONE GENERIQUE, BRIQUE DE BASE DANS LA REALISATION SANS CONCESSION DE MULTIPLES MICROSYSTEMES HETEROGENES TRES BASSE PUISSANCE Yves Leduc 1,2, Gilles Jacquemod 1 Pôle CNFM PACA Polytech’Nice-Sophia, 1645 Route des Lucioles, 06410 Biot 2 Texas Instruments Chaire, Fondation DreamIt, Université de Nice
1
Introduction Ce contrôleur asynchrone fait partie d’un projet plus ambitieux qui permettra la réalisation d’un implant cochléaire fortement intégré. Il est apparu rapidement que le microcontrôleur nécessaire à ce projet ne pouvait être dédié uniquement à cette application et qu’il était utile de développer un contrôleur générique. Nous avons donc proposé de réaliser un processeur qui pourra servir de nombreuses applications portables de très basse consommation. Il sera placé dans une pile de puces de silicium connectées par des techniques d’assemblage 3D au moyen de vias traversants pour réaliser des microsystèmes intégrés. Cette structure offre immédiatement de larges possibilités de réutilisation et de coopération. Nous voulons partager cette vision avec les différents membres du CNFM car il nous semble intéressant de faire profiter les enseignants de cette future plateforme, plateforme qui pourrait être la base de projets d’études plus ou moins ambitieux avec une économie de moyens et de temps.
Motivation Le but premier de ce projet est d’aider des entreprises en devenir a réaliser des produits performants avec des ressources réduites et un temps de développement compétitif. Les microcontrôleurs sont des modules indispensables à la réalisation de systèmes électroniques. Comme il est coûteux de les intégrer dans un système mono-puce, il est donc difficile pour une start-up ou une petite entreprise de rivaliser avec les plus grands de leurs compétiteurs disposant de ressources humaines et matérielles importantes. Mais après avoir constaté que ces microcontrôleurs, bien qu’indispensables aux systèmes électroniques, ne sont en aucune manière un facteur de différenciation, il est devenu apparent qu’il est possible de proposer une alternative attractive sous certaines conditions. Plutôt que d’acheter un IP sous la forme de « netlist » et de s’épuiser à l’instancier aux côtés de son
O8
PACA
système, il est moins coûteux, plus rapide et bien moins risqué d’acheter le microcontrôleur adéquat sous la forme d’une puce produite en grand volume et de la connecter physiquement sans compromis à sa puce système grâce aux techniques reposant sur les vias traversants (STV, « Silicon Through Via »). Le premier système qui profitera de ce processeur est un projet d’implant cochléaire fortement intégré qui utilisera un ensemble de technologies hétérogènes en assemblage 3D pour réaliser la chaîne de traitement audio complète depuis le microphone jusqu’au nerf auditif en utilisant des techniques RFID pour transmettre l’énergie et les données. Ce microcontrôleur, tel qu’il est défini, pourra aussi constituer une plateforme de travail qui permettra à des étudiants de réaliser des projets ambitieux en utilisant, soit la description de haut niveau ou la description RTL pour en modifier le design, soit en programmant le contrôleur pour développer des applications logicielles.
Le projet Nous proposons donc de réaliser un processeur adapté aux microsystèmes de très basse puissance tels que les implants médicaux, connectable au système à gérer grâce à ses vias traversants. Ce microcontrôleur sera réalisé en technologie asynchrone pour répondre au plus près aux exigences de fiabilité, de seuil de bruit ainsi qu’à la très faible consommation demandée par les applications médicales. Cette technologie asynchrone offre un premier degré de liberté, par la variation de la tension d’alimentation, il est en effet aisé de choisir sa vitesse de fonctionnement tout en limitant au plus près la consommation. De plus, en permettant d’assembler très simplement jusqu'à 16 de ces processeurs génériques en un processeur multicoeur, nous offrons aussi la possibilité d’ajuster la puissance de calcul à l’application ciblée. Un même processeur peut donc répondre à des cahiers des charges très variés. Ce processeur générique peut donc être produit en grand volume dans les technologies les plus adéquates et être vendus à de nombreuses entreprises. Ces dernières pourront économiser leurs précieuses ressources et se concentrer avec plus d’intelligence sur leur cœur de métier. Elles offriront à leurs clients plus rapidement et plus sûrement des produits compétitifs et différenciés qui n’auront rien à envier à ceux de leurs plus grands rivaux. Un processeur générique se doit de pouvoir être utilisé dans une gamme de performances étendues. Grâce aux techniques asynchrones de type « Quasi Delay Insensitive », un tel processeur peut fonctionner à quelques kHz à 0.5V et quelques dizaines de MHz à 2.5V. Cette grande tolérance de ce type de logique aux tensions d’alimentation fournit une première réponse au besoin de couvrir un large spectre de performances. Nous proposons d’équiper ces microcontrôleurs de 4 bancs de mémoires SRAM. L’objet de nos travaux sera de déterminer une structure simple qui nous permettra de réaliser des processeurs multicoeur. L’utilisateur pourra choisir de découper les tranches en blocs de 1, 2 ou 4 processeurs qui seront connectés au travers de la « scribe line ». Ce premier type d’association permet de réaliser un processeur multicoeur dans le plan horizontal sur la même tranche. Mais en assemblant en technologie 3D jusqu'à 4 processeurs, il sera possible d’associer intimement les plans mémoires pour construire dans le plan vertical des processeurs multicoeur plus performants. Nous avons donc ici une seconde façon d’étendre considérablement le spectre de performances de l’ensemble. Cette proposition, illustrée à la figure 1, répond donc bien au besoin de généricité du processeur.
O8
PACA
Wafer Bond 2, 3 or 4 wafers together ?
Generic µC 3D bonded or not…
Saw in 1, 2 or 4 modules ?
You get YOUR scalable µcontroller with 1 to 16 cores Choose the supply 0.5 … 2.5V 2.52.5Vvoltage(s)
You buy the performances YOU need Figure 1. Un même contrôleur générique offre une large gamme de performances
Un premier exemple de processeur multicoeur est présenté à la figure 2. Microsystem using a quad ‘flat’ µController bonded on another IC
2
SRAM
2
1
Processor
3
1
0
3
0
sensor
tick
Quartz
IC System
sensor tick #0
real time µcontroller
#1
slave µcontroller
#2
safety µcontroller
#3
Off
hot spare µcontroller
time
Figure 2. Un microcontrôleur à 4 cœurs réalisé dans un plan horizontal
O8
PACA
Un microsystème utilisant un empilement de puces dédiées est présenté à la figure 3. Le microcontrôleur, dans cet exemple, utilise 2 puces de silicium assemblées avec des vias traversants.
RF
Flash data
power
µC address
interfaces
System +
Figure 3. Un exemple de microsystème construit par assemblage 3D
Perspectives Ce projet est en phase de définition. Il sera un des prochains projets coopératifs de la plateforme de conception CIM-PACA. Nous avons construit cette proposition de façon à ce qu’elle soit la plus ouverte possible. Dès que nous aurons défini la structure et les paramètres géométriques de l’empilement, ce projet coopératif recevra avec gratitude toute contribution à son succès !
Références La société Tiempo de Grenoble est un leader dans le domaine de l’asynchrone. Les travaux du professeur Marc Renaudin, co-fondateur de cette société et de ses anciens collègues du TIMA, font autorité dans les techniques de développement circuits numériques asynchrones. Ces travaux et leurs résultats ont servi et serviront de fondation et de référence à ce projet.
O8
CIME
Conception de SoC sur la plate-forme OCAE du CIME Nanotech
Stephane Mancini, Mounir Benabdenbi, Regis Leveugle, Olivier Muller, Frederic Petrot October 29, 2010
1
Resume Au sein de CIME Nanotech, la plate-forme Objets Communiquant et Applications Embarquees (OCAE) met a disposition des enseignants/chercheurs l'ensemble de la cha^ne de conception et prototypage des systemes numeriques integres de type System on Chip (SoC) et System on Programable Chip (SoPC). La liere SLE de l'ENSIMAG utilise cette ressource du CIME dans le cadre de projets de conception de SoC. Les applications visees concernent aussi bien le multimedia que les reseaux d'objets communicant ou encore la securite des systemes embarques. L'ensemble des logiciels et plate-formes de prototypage permet aux etudiants de decouvrir et mettre en oeuvre une cha^ne de conception depuis la speci cation haut niveau jusqu'a l'integration sur systeme programmable pour prototypage, en passant par des etapes de synthese haut niveau C-to-RTL , codesign logiciel/materiel et validation. Ainsi, les etudiants sont amenes a concevoir des prototypes de SoC composes de processeurs, IP d' acceleration materielle et logiciel associe-application et systeme d'exploitation embarque temps reel ou Linux embarque. Les publics vises par la plate-forme OCAE sont toutes les formations qui s' interessent a l'etude detaillee des interactions logiciel/materiel et aux communications entre systemes embarques. Ainsi sont naturellement concernees les lieres Systemes Electroniques Integres (SEI) de PHELMA, Systemes et Logiciels Embarques (SLE) de l'ENSIMAG mais aussi la liere Signal Image Communication Multimedia (SICOM) de PHELMA et le Master Crypto UJF. Il est a noter que la plateforme OCAE accueille regulierement des sessions de formation continue.
Introduction
L'accroissement de la complexite des System On Chip (SoC) et Multi Processor System on Chip (MPSoC) engendre de nouvelles problematiques methodologiques, aussi bien techniques qu'organisationnelles. En eet la complexite des systemes concus par les ingenieurs necessite d'une par la mise en place de ots de conceptions complexes, avec de longues boucles de conception/validation, avec des outils tres divers, allant de la synthese de haut niveau, les methodes formelles de validation, jusqu'au placement-routage de systemes pouvant contenir plusieurs centaines de millions, voire des milliards, de transistor. Chacune des etapes du ot de conception necessitant un haut degre de technicite. D'autre part il appara^t que la somme de connaissances et d'expertise implique dans de tels projet est telle que plus personne ne peut pretendre en ma^triser l'integralite et le partage de la connaissance devient un enjeu primordial. Dans ce contexte, la liere Systemes et Logiciels Embarques (SLE) de l'ENSIMAG vise a former des ingenieurs a la conception des SoC. Au sein de ce cursus, les projets \Etude de cas d'implantation d'un SLE" ont pour vocation a faire decouvrir les dierentes facettes de la conception des SoC par une pratique intensive, puisque ce module recouvre 96H00, dont la moitie encadrees. Les dierents projets ont en commum les outils de developpements et couvrent de nombreux domaines applicatifs, depuis le traitement d'image, l'audio, la conception de systemes d'exploitation ou encore la securite des systemes embarques. A titre d'exemple, un projet de conception d'acceleration du lancer de rayon est presente en detail. 1 P6
exécutive fonctionnelle
Spécification
Spéficication
CIME
V
Spécification produit
V
Spécification Algorithmique
V
Architecture Système Architecture logicielle
V Implantation
Besoins
V
Application OS Compilation
HLS
CatapultC
Architecture matérielle IP Interfaces
V V
Opérateur de traitement
V Simulation VHDL Modelsim
XPS
Exécutable Structurel Système
RTL IP
V
Synthèse logique
Xst & Precision Synthesis
Netlist
ISE
V
Placement routage BitFile
V
Test sur carte
V
1 { Le ot de conception SoPC sur la plate-forme OCAE. Les outils Xilinx peuvent ^etre remplaces par l'environnement Altera. Fig.
2
Outils et methodologie
logiciel/materiel. Cette premiere phase est essentiellement \manuelle", les outils d'aide au partitionnement etant abordes dans d'autres modules de formation. Dans une seconde phase, les parties logicielles et materielles sont concues, d'abord separemment puis ensemble. Le developpement materiel suit un cycle de conception/implantation/veri cation propre, qui, par exemple, peut ^etre base sur des tests unitaires extrait de la speci cation algorithmique. Cette phase de developpement logiciel/materiel peut ^etre court-circuitee par un ot de synthese de haut niveau (HLS) qui permet de produire une description RTL d'operateurs materiels directement a partir d'un code C++, dans l'environnement CatapultC. Dans les deux cas, une attention particuliere est portee aux interfaces entre le logiciel et le materiel. La speci cation des methodes d'acces aux donnees par les operateurs de traitement est anee, en interaction avec l'architecture systeme (donnees en memoire locale, centrale, etc..). Les mecanismes de communication a travers les bus systeme PLB Coreconnect sont speci es, ainsi que les synchronisation par interruptions.
L'objectif des projets proposes aux etudiant est de mettre en oeuvre une cha^ne complete de conception, jusqu'au prototypage sur un circuit programmable SoPC. Le choix s'est porte sur l'environnement XPS de la societe Xilinx et nous disposons de cartes d'evaluation de dierentes generations. Les systemes concus comportent tous une partie logicielle et materielle.
2.1 Vue globale du ot de conception Le ot de conception utilise par les etudiants est represente schematiquement sur la gure 1. Ce ot vise a concevoir des systemes logiciels et materiels dont un exemple est donne gure 3, page 6. Pour la plupart des projets, les etudiants partent d'une speci cation de haut niveau, le plus souvent a partir d'une application logicielle de reference ou m^eme de la description fonctionnelle et algorithmique du systeme a implanter. Dans une premiere phase les etudiants sont amenes a speci er l'architecture systeme de leur projet et de detailler le partitionnement 2
P6
CIME
3
Une fois logiciel et materiel developpe, l'environnement XPS permet de produire une description structurelle complete du systeme. Cette description permet une premiere validation par simulation des interactions logiciel/materiel. Un Instruction Set Simulator (ISS) permet la simulation VHDL de l'execution sur la plate-forme materielle du code executable produit par la compilation du logiciel. Cette premiere validation necessite une simpli cation du logiciel applicatif, le plus souvent sans systeme d'exploitation.
Exemples de projets
3.1 Securite et SoC 3.1.1 Systeme securise a chirement asymetrique L'algorithme RSA est a la base de nombreux protocoles de securite. Il requiert toutefois des temps de calcul eleves, lorsqu'il est programme sur des processeurs typiques des systemes embarques. L'optimisation des performances conduit donc souvent a implanter un coprocesseur de chirement specialise. L'objectif de ce projet est de concevoir un tel accelerateur materiel et de l'inserer dans une plate-forme SoPC a n d'evaluer le gain en performances obtenu.
Une fois le systeme valide, les etapes classique de synthese logique puis placement/routage permettent de reproduire ces tests unitaires sur les cartes de developpement.
3.1.2 Systeme securise recon gurable dynamiquement
2.2 OS embarque
Un nombre croissant de systemes embarques doit prendre en compte des contraintes de securite, impliquant l'usage de coprocesseurs de chirement bases sur dierents algorithmes. Une architecture recon gurable dynamiquement permet de pallier au manque de ressources. Le coprocesseur implante est alors de ni tout au long de l'application de l'execution en fonction des besoins, et change lorsque necessaire. L'objectif du projet est d'implanter une telle architecture permettant de changer l'algorithme de chirement a la volee.
De nombreux projets font appels a des systemes d'exploitation deployes sur les processeurs presents dans les FPGA Xilinx. Le processeur PowerPC, ainsi que les derniere version de MicroBlaze, permettent l'utilisation du noyau Linux. Ce noyau est deploye en installant \Buildroot", un environnement de cross-compilation et de generation du systeme de chier racine base sur uclibc, une version legere de la libc. En plus d'un crosscompilateur utilise pour compiler le noyau, les drivers et les applications, cet environnement genere le systeme de chier et tous les services necessaires au fonctionnement de l'OS.
3.2 Securite de fonctionnement
Cet environnement permet de faire fonctionner sur les cartes de developpement tout logiciel s'executant sur un OS Linux/Unix sur PC, les performances mises a part.
En regard de la complexite des SoC et MPSoC, il n'est plus possible de garantir que 100% des composants materiels presents sur la puce seront sans defauts. Les defauts peuvent ^etre detectes soit au moment de la fabrication (en usine), soit apres mise en service (dans l'equipement), suite a un phenomene de vieillissement premature. Il est donc devenu primordial de pouvoir, en cours de fonctionnement, pouvoir collecter dierentes informations fournies par les capteurs repartis dans le systeme, les analyser et prendre la decision qui s'impose.
L'avantage majeur de la mise en place d'un tel OS est de faciliter les communications avec la station de developpement. En eet, une liaison ethernet entre le PC et la carte permet la mise en place de l'echange de chiers gr^ace au protocole NFS. Ainsi, les resultats des calculs sur les operateurs de traitement peuvent ^etre directement stockes dans des chiers pour comparaison avec des resultats de reference sur la station de developpement. 3
P6
CIME
3.2.1 Coprocesseur de monitoring supportant la norme IEEE 1687
but de ce projet est de deployer l'application de synthese vocale espeak sur un systeme embarque sur FPGA et d'utiliser un outil de synthese d'architecture pour mettre en oeuvre un coprocesseur materiel dedie.
Pour repondre au probleme de la collecte d'information, un standard de nissant une interface d'acces aux dierents c?urs integrant des informations (grandeurs physiques et/ou compteurs d'activite) a vu depuis peu le jour. Il s'agit du standard IEEE 1687 appele aussi IJTAG.
3.3.3 Acceleration de la 3D RayTracing Ce projet est detaille section 4.
3.2.2 Detection d'erreurs transitoires par analyse de signature logicielle (Control Flow Checking) pour systemes multi-t^aches
3.4 Systeme d'exploitation 3.4.1 Driver Linux pour interface reseau Remote-DMA
Le comportement de l'application peut aussi ^etre altere suite a un changement d'etat d'un point memorisant du systeme provoque par une particule ionisante (SEU pour Single Event Upset). Pour eviter un comportement inattendu (voire l'arr^et complet) de l'equipement suite a l'apparition d'une faute, il est donc necessaire de prevoir des mecanismes distribues de detection en ligne de fautes, d'arr^et synchronise des t^aches et de reprise rapide de l'execution du programme a partir d'un etat sain (on line detection, checkpoint and rollback).
Le Remote-DMA (RDMA), est un protocole reseau permettant de reduire les copies subies par un message qui arrive sur un port reseau. Dans un schema classique, un message IP entrant est copie depuis un buer vers les couches reseau superieures pour nalement arriver dans l'espace memoire virtuel du processus applicatif. Avec RDMA, le message arrive directement a une adresse physique contenue dans l'en-t^ete du message. Cette adresse peut ^etre etablie au prealable par une architecture client-serveur.
3.3 Acceleration materielle et HLS 3.3.1 Visionneuse de fractale sur un systeme processeur-coprocesseur specialise
DNA est un systeme d'exploitation developpe par l'equipe TIMA-SLS. Il implemente toutes les fonctionnalites classiques d'un OS, notamment :
3.4.2 Portage de DNA sur Microblaze
Le support multiprocesseur (SMP)
Les fractales sont des courbes irregulieres crees a partir de fonctions iterees ou recursives. Pour calculer un fractal, il faut donc bien souvent repeter un calcul simple, mais neanmoins tres precis, un grand nombre de fois. L'objectif de ce projet est de deployer une application de visionneuse de fractale sur un systeme embarque sur FPGA et d'utiliser un outil de synthese d'architecture pour mettre en oeuvre un coprocesseur materiel dedie.
Le multithreading Les interruptions & exceptions Les entrees/sorties
Il repose entierement sur un HAL speci e ulterieurement qui permet de separer l'OS de l'architecture. Dans ce projet, le HAL sera concu pour le processeur MicroBlaze.
3.3.2 Synthese vocale sur un systeme processeur-coprocesseur specialise
4
Projet \3D Ray-Tracing"
Le lancer de rayon, ou \Ray-Tracing", est une application graphique attractive car les resultats sont directement visualisables. Cette ap-
La synthese vocale permet de recreer une parole a partir des textes fournis en entree. Le 4
P6
CIME
Scène
Lumière
Rayon d’éclairage Rayon secondaire
Rayon primaire
Pixel
Observateur Fig.
Ecran
2 { Principe du lancer de rayon obtenus en lancant recursivement les rayons re echis et refractes inverses, dits rayons secondaires. L'algorithme s'arr^ete soit sur un critere d'arr^et soit lorsqu'une profondeur de recursion maximum est atteinte. Il est comprehensible que le calcul d'intersection entre un rayon et un triangle est un des goulots d'etranglement de cet algorithme et que son acceleration materielle est necessaire. Un premier partitionnement logiciel/materiel conduit a l'architecture representee gure 3. Un accelerateur (ou IP) d'intersection rayon/triangle est gere par un processeur PowerPC qui fait le reste des calculs (lecture de la scene, generation des rayons, calculs d'eclairage, etc . . . ). Cet accelerateur prend en entree une liste de rayons ainsi qu'une liste de triangles et determine s'il y a intersection entre chacun des rayons et chacun des triangles. Traiter les donnees en paquets permet un meilleur recouvrement des calculs et des communications logiciel/materiel. En eet, comme cet accelerateur permet le calcul d'une intersection en 4 cycles d'horloge1 , la duree d'un simple calcul d'intersection serait minoree par le co^ut de l'ecriture des donnees puis la synchronisation par interruption. Il est a noter qu'une autre strategie aurait put consister en l'utilisation du calculateur d'intersection
plication met en jeu tous les aspects de la conception des SoC, depuis la description algorithmique du traitement jusqu'a la realisation d'un prototype. Le lancer de rayon a pour objectif de faire de la synthese d'image photo-realiste: par calcul, une image est produite a partir d'une scene composee d'objets, chacun etant representes par un maillage de triangles. L'image obtenue correspond au point de vue d'une camera placee dans cette scene, comme illustre par la gure 2. A n d'obtenir des eets photorealistes, l'image est calculee en simulant le trajet de la lumiere dans la scene. Plus exactement, pour calculer l'intensite lumineuse d'un point du capteur de la camera virtuelle, c'est le trajet inverse de la lumiere arrivant en ce point qui est simulee. Pour chaque pixel de l'image produite, l'algorithme genere un rayon de lumiere passant par ce pixel et la focale de la camera, ce rayon est dit rayon primaire. L'objet vu en ce pixel est trouve en calculant l'intersection entre le rayon et les objets de la scene, c'est a dire avec tous les triangles qui composent cette derniere. L'objet vu est celui dont l'intersection avec le rayon est la plus proche de la focale. Comme l'intensite lumineuse produite par cet objet depend de son eclairage, des rayons de lumiere sont a nouveau envoyes dans la scene a n determiner les eventuelles occlusions des sources de lumiere, ce sont les rayons d'eclairage. Des eets de re exion et refraction peuvent aussi ^etre
1
Une soixantaine d'operations arithmetiques sont necessaires au calcul d'une intersection/rayon, ce qui correspond a une centaine d'instructions d'un processeur classique
5 P6
CIME
Reference
SDRAM Ctl Interupt
Ctl SDRAM
32
OPB IOCM
IP 32b
IP 18b
BRAM PLB 64
Processeur
PPC
DOCM
FPGA
Inter. Ray Triangle
Sortie VGA
1 { Comparaison entre references et resultats de la HLS de l'operateur d'intersection rayon/triangle, pour dierentes precisions des calculs (32b/18b) Tab.
Fig.
3 { SoC pour le lancer de rayon
ception des SoC et a la transmission des connaissances: ils doivent faire face a des codes et rapports precedants, sans que les personnes a leur origine ne soient la puis doivent eux-m^eme produire des documents exploitables par leurs successeurs. Nous avons pu constater que les etudiants qui prennent en main les outils HLS arrivent rapidement a des resultats du fait de l'environnement integre de CatapulC. Cet environnement permet la simulation de l'IP dans un programme identique a celui utilise pour valider l'algorithme initial. De ce fait, il est plus aise de fournir des donnees au calculateur puis de recuperer les resultats pour comparaison avec une reference. Cependant, le parametrage de l'outil HLS et la grande variabilite au code d'entree, pour un algorithme donne, rend dicile la generation d'une architecture ecace sans un minimum de recul. La diversite des outils et cartes d'emulation fournies par la plate-forme OCAE permet l'experimentation de nombreux ots de developpements, de la conception jusqu'au prototypage.
comme co-processeur du coeur PowerPC. Du fait de la complexite de l'application, les dierentes etapes sont abordees par differents bin^omes, sur plusieurs annees. Le tableau 1 donne les resultats de calculs d'intersection produits par une IP concue dans l'environnement HLS CatapultC par un bin^ome d'etudiants. Les images sont produites par l'environnement de simulation concus par les etudiants. Elles illustrent l'eet de la precision des calculs sur la qualite des resultats. A n de comparers les methodes de conception classiques et la HLS, d'autres bin^omes se sont essayes a concevoir une IP en ecrivant le RTL d'une architecture pipeline. L'integration de l'operateur d'intersection rayon/triangle dans un systeme complet est un nouveau projet. L'objectif est de pouvoir recuperer le code de l'application de reference, qui fonctionne sous Linux, et de remplacer les fonctions logicielles de calcul d'intersection par un appel a un driver contr^olant l'operateur materiel.
5
Conclusion
La formation a la conception des SoC par la pratique est rendue possible gr^ace aux outils de prototypage. La quantite d'informations et de connaissances necessaires rend dicile la mise en place de projets utilisant l'ensemble du ot de conception. Des projets qui s'etalent sur plusieurs annees pourraient aider les etudiants a comprendre les dicultes liees a la con6 P6
PACA
REALISATION D’UN EMETTEUR RECEPTEUR RFID A 13,56 MHZ *
*
*
Stéphane MEILLÈRE , Wenceslas RAHAJANDRAIBE , Philippe PANNIER , **
**
Pascal MASSON , Gilles JACQUEMOD
Pôle CNFM PACA *
Polytech’Marseille, IMT-Technopôle de Château Gombert, 13451 Marseille Cedex 20 ** Polytech’Nice-Sophia, 1645 Route des Lucioles, 06410 Biot E-mail :
[email protected]
Résumé Dans le cadre d’un projet « inter-Polytech » (Polytech’Nice-Sophia et Polytech’Marseille), une équipe d’une quinzaine d’étudiants, encadrée par trois enseignant-chercheurs et répartie entre les des deux sites, a développé un prototype d’émetteurrécepteur. Ce projet c’est déroulé durant quatre ans et ce papier présente le fruit de ces quatre années. Fonctionnant à 13,56MHz, ce système vise des applications dédiées à l’étiquetage et à la détection pour une distance de quelques centimètres conforme aux normes ISO14443 et ISO15693[1]. L’information peut ainsi être réceptionnée et transmise à un débit variant entre 106kb/s et 847kb/s, suivant la norme utilisée. Notre prototype est réalisé à l’aide des infrastructures du CNFM PACA, en technologie AMS CMOS 0,35µm.
1. Introduction Les systèmes électroniques radiofréquences pour l’identification (RFID) [2-7] permettent des communications sans fil intégrant les modes d’écriture et de lecture, dans des environnements hostiles à l’homme (humidité, températures extrêmes, impuretés, ...). De plus, les systèmes RFID possèdent une très grande souplesse d’utilisation (ticket de métro, péages automobiles, ...). Par leur auto-alimentation, les systèmes RFID présentent aussi un intérêt majeur dans le domaine bio-métrique et médical. Le système est principalement composé par deux structures distinctes. La première, concernant la partie émission, utilise un concept à la fois simple et robuste pour la modulation et l’amplification de puissance. En effet, sa structure interne est axée autour de cellules élémentaires à quatre transistors, un inverseur CMOS contrôlé. Ces cellules, mises en parallèle, sont dimensionnées pour nous permettre de contrôler la quantité de courant à injecter dans l’antenne. Nous réalisons la
modulation en contrôlant le nombre de cellules mises en parallèle, par un signal numérique. La seconde partie, la réception, récupère l’information de la rétro-modulation aux bornes de l’antenne. Une atténuation de l’amplitude du signal est nécessaire afin de rendre compatible l’adaptation des niveaux entre le circuit intégré et l’antenne. Nous proposons une récupération de l’énergie basée sur un principe de redressement à diode, suivie d’un filtrage permettant l’élimination d’une partie du signal de la porteuse tout en gardant le maximum de données. Nous réalisons, dans ce système, une technique permettant d’extraire le signal utile à partir de sa valeur moyenne. Ainsi, le signal peut être, à la fois, amplifié et filtré. Il subsiste de l’énergie de la porteuse pour prendre une décision et rendre le signal compatible avec des niveaux logiques. En effet, la difficulté de ce récepteur vient de la proximité des fréquences de la sousporteuse. Pour un débit de 847kb/s, la fréquence de porteuse se trouve à peine plus éloignée d’une décade de fréquence. C’est pourquoi, nous réalisons un filtrage intervenant tout au long de la chaîne de réception. La décision est réalisée à partir d’une structure différentielle totalement symétrique à forte sensibilité d’entrée (inférieure à 1mV) ayant un gain en tension élevé, supérieur à 130 dB. 2. Présentation générale Le schéma bloc général du circuit est représenté sur la figure 1. Il est composé de deux parties principales faisant l’objet de cette étude. La partie synthétiseur générant la fréquence porteuse de 13,56MHz et le courant de polarisation ne fait pas partie de cette étude. L’inductance d’émission et de réception (connectée entre les nœuds ANT+ et ANT- sur
P16
PACA
la figure 1) ainsi que la résistance REXT sont externes au silicium. La modulation est de type amplitude, ASK (Amplitude Shift Keying dans la littérature anglaise) de type A avec un taux de modulation de 100% et de type B avec un taux de modulation de 10%.
une option intéressante pour l’évolution du système.
Figure 2. Schéma bloc de l’émetteur Figure 1. Présentation du système RFID
En mode de réception, le démodulateur reçoit de l’étiquette un signal sur l’antenne qui correspond à un générateur de tension d’impédance caractéristique de l’ordre de 50Ω. Le rôle du récepteur est de reconstruire sur le nœud DATA_OUT une donnée ASK transmise par le TAG. L’antenne pouvant fournir une tension à ses bornes supérieure à 20Vpp, une résistance série REXT est utilisée pour transformer le signal entrant en un signal de type courant. Les signaux DATA_IN et DATA_OUT sont des signaux séries dans le temps à une fréquence de 106kHz, 218kHz ou 847kHz suivant le mode de transmission. Le signal MIDX est un signal numérique permettant le contrôle du taux de modulation, il est constitué de 5 bits, ce signal sera fourni par une partie numérique extérieure.
3.1 Le déphaseur La figure 3 représente le circuit déphaseur permettant la génération de deux signaux INT+ et INT- en opposition de phase « parfaite » à partir d’un signal unique. Les signaux ont une forme rectangulaire. Il est très important de créer un déphasage « parfait » entre les deux signaux afin de garantir une attaque différentielle de l’antenne. Ceci permettra de ne pas transmettre la masse du signal et aussi d’augmenter la puissance transmise à la charge.
3. Présentation de l’émetteur Le respect de la norme ISO14443 [1], nous impose de dimensionner les amplificateurs de puissance de façon à générer un champ électromagnétique dans l’antenne variant entre 1,5A/m et 7,5A/m. La figure 2 représente la structure de l’émetteur. Il se décompose en trois modules distincts et nécessite la présence de trois signaux extérieurs. DATA correspond aux données à transmettre, MIDX est un bus de 5 bits permettant le contrôle de l’indice de modulation et le signal de fréquence 13,56MHz qui peut prendre la forme d’un signal carré. Cependant, l’émission d’une donnée n’est pas obligatoire dans un lecteur de carte sans contact. Cette fonction reste donc
Figure 3. Circuit déphaseur
Les cellules XOR sont réalisées à partir des dimensions minimales et possèdent une architecture symétrique, c’est à dire que les deux entrées voient le même nombre de cellules. Les inverseurs qui suivent servent à adapter la charge. En effet, les amplificateurs de puissance sont constitués par des transistors MOS avec une largeur très importante, ils possèdent une capacitance très forte. C’est pourquoi, il est nécessaire de respecter la
P16
PACA
sortance maximale des cellules. Les valeurs 1x, 3x et 9x correspondent directement au coefficient multiplicateur de la largeur de l’élément minimal. 3.2 Le modulateur Le circuit de la figure 4 représente la sélection du taux de modulation. En effet, le signal de sortie MIDX*DATA est un signal numérique créé à partir du signal DATA et du signal MIDX. La norme de transmission de la donnée indique que lorsque la donnée DATA prend la valeur binaire 1, le signal dans l’antenne doit correspondre au maximum de puissance, réciproquement lorsque DATA prend la valeur binaire 0, dans ce cas, la puissance dans l’antenne doit correspondre à un indice de modulation de 10% ou 100% suivant le type de transmission.
par le signal MIDX*DATA. Chaque bit correspond au contrôle d’un inverseur. Ainsi, la gestion des inverseurs reste simple car à un bit correspond un inverseur de taille caractéristique. La figure 6 représente la variation de l’indice de modulation en fonction du code MIDX sur 5 bits sélectionné. Les simulations sont effectuées pour un courtcircuit et une impédance caractéristique de 50Ω ce qui modélise le fonctionnement électrique nominal d’une antenne. IOUT représente la valeur efficace du courant de sortie pour un amplificateur de puissance, AP. Lorsque tous les inverseurs sont actifs, AP peut fournir un courant de 21,5mA, cette valeur est doublée en utilisation différentielle. La consommation de l’amplificateur de puissance représente en partie la consommation totale de l’étage émetteur, soit approximativement 213mA sous 2.5V.
Figure 4. Circuit de modulation
Le réglage s’effectue par le contrôle de cinq multiplexeurs mis en parallèle gérant chacun un des bits du signal MIDX. Remarquons que l’utilisation de l’émetteur sans transmission de données vers le TAG correspond à prendre DATA = 1. 3.3 L’amplificateur de puissance La structure interne de l’amplificateur de puissance est représentée sur la figure 5. Nous avons placé en parallèle un jeu de cinq inverseurs possédant une taille bien spécifique.
Figure 6. Réglage de l’indice de modulation
4. Présentation du récepteur
Figure 5. Etage de puissance
En effet, comme dans le cas des inverseurs de la section 3.1, les coefficients représentés sur les inverseurs correspondent à une multiplication de la largeur de l’élément minimal. Le contrôle des inverseurs s’effectue
Figure 7. Schéma bloc du récepteur
Le récepteur développé est représenté sur la figure 7. L’entrée RE du circuit s’effectue à une borne d’une résistance extérieure (non
P16
PACA
intégrée) de valeur 150kΩ. Cette valeur peut être changée afin d’améliorer la quantité de courant présent aux bornes de l’antenne ANT. La sortie DATA du récepteur est une mise en forme du signal présent aux bornes de l’antenne, DATA est un signal numérique de fréquence variant entre 106kHz et 847kHz. La chaîne de traitement se décompose en quatre phases, le redressement, l’amplification, la comparaison par rapport à une référence et le filtrage. Ce dernier n’est pas intégré dans une structure dédiée mais plutôt dans chacune des trois autres parties de façon à optimiser la réjection du signal de fréquence porteuse à 13,56MHz tout le long du traitement du signal utile. La mise en forme du signal étant réalisé, il ne reste plus qu’à prendre une décision sur la valeur du signal de sortie. Nous proposons une structure à double paire différentielle réalisant un OTA [8,9] à deux étages. La sortie de l’OTA est connectée à trois inverseurs permettant d’obtenir une rapidité de balayage très forte et un gain de boucle ouverte élevé.
testé sous pointes sur un banc de mesure adapté, cette solution est cours de réalisation et les résultats ne peuvent pas encore être dévoilés. Le deuxième, un système de prototypage rapide à l’aide d’un circuit imprimé, solution qui a été retenue dans cette étude. Le circuit de la figure 8 présente une dimension de 1680µm de largeur sur 1280µm de hauteur plots de connections compris. Le circuit se décompose en quatre blocs. Le premier, l’émetteur de la section 3. Le deuxième, le démodulateur de la section 4. Le troisième, un synthétiseur de fréquence à 13,56MHz contrôlé en tension (VCO : Voltage Controlled Oscillator dans la littérature anglaise). Le quatrième, dédié exclusivement au test sous pointes, seuls les éléments constituant le démodulateur sont implémentés. Nous présentons dans le cadre de cet article uniquement les mesures relatives à l’émetteur et au démodulateur.
5. Mesures et discussions Dans le cadre de cet article, nous allons présenter quelques résultats de mesures qui ont été réalisées à l’aide des moyens du CMP Grenoble et du CNFM PACA. Le circuit a été réalisé à l’aide d’une technologie AMS CMOS 0,35µm standard. La figure 8 représente la photographie du circuit avec ses plots de connections pour une mise en boîtier de type DIL40.
Figure 9. Sortie du démodulateur pour un indice de 100% et un débit de 847kb/s
Figure 10. Sortie du démodulateur pour un indice de 10% et un débit de 847kb/s
Figure 8. Photographie du lecteur RFID
A ce niveau de réalisation deux choix s’offraient à nous. Le premier, le circuit est
Les figures 9 et 10 représentent la sortie du démodulateur, DATA_OUT. Ce sont des résultats de mesures réalisées à l’aide d’un oscilloscope numérique. Le signal de la voie 1 représente le signal de la rétro-modulation qui peut être reçu sur l’antenne, atténué par une résistance en série REXT d’environ 150kΩ, atténuation de 20dB soit un signal d’amplitude 2Vcrête–crête. Ce signal est généré par un générateur de fonction arbitraire intégrant la modulation d’amplitude. La figure 9 représente
P16
PACA
un indice de 100% et un débit binaire de 847kb/s. La figure 10 représente un indice de 10% et un débit binaire de 847kb/s. Le signal de la voie 2 pour les figures 9 et 10 représente le signal DATA_OUT, c’est à dire, le signal issu de la démodulation. Nous pouvons voir que le signal binaire est parfaitement restitué. De plus, le système de démodulation s’affranchit du problème de sélection du débit binaire à traiter. En effet, le débit de 847kb/s représente le pire cas de fréquence sous porteuse, le démodulateur fonctionne parfaitement pour des débits moindres, par exemple 106kb/s.
Figure 11. Sortie de l’émetteur pour un indice de 100% et un débit de 847kb/s.
La figure 11 montre la mesure différentielle du signal de sortie de l’émetteur sur une charge réelle de 50Ω. Les voies 1 et 2 visualisent respectivement les signaux des sorties de l’émetteur ANT+ et ANT-, chargées par une résistance de 50 Ω. La voie M représente le signal différentielle, voie 1 moins voie2. Les signaux appliqués aux entrées de l’émetteur viennent de deux générateurs de fonctions. Le premier pour le signal de 13,56MHz, ici sinusoïdale de pleine échelle. Le second pour le signal binaire, soit un signal rectangulaire asymétrique de pleine échelle de fréquence 847kHz. Les signaux MIDX sont ajustés pour obtenir un indice de modulation de 100%. Nous pouvons observer que le signal de la voie M présente le double d’échelle par rapport aux voies 1 et 2 et les signaux des voies 1 et 2 sont en parfaite opposition de phase. Nous mesurons une tension maximale de 1,14V, ce qui implique un courant de sortie maximal de 22,8mA avec 50Ω en charge. Ce courant est doublé lors de l’utilisation en structure différentielle. Toutes les mesures ont été réalisées sous une tension de polarisation de 2,5V. De plus, elles coïncident parfaitement avec les résultats théoriques et de simulations attendus. Cependant, les résultats sous pointes pourront affiner encore plus les comparaisons
avec les simulations, notamment concernant les blocs redresseur, amplificateur filtre et comparateur. Le VCO fera l’objet d’une étude complète et servira surtout à rendre le système indépendant d’une source extérieure. 6. Conclusions Cet article présente les principaux résultats de mesures d’un prototype de lecteur de carte sans contact réalisé par un groupe de travail constitué d’étudiants et d’enseignants sur différents sites, sur une durée de quatre années. Ce circuit a été fabriqué, en utilisant les services du CMP Grenoble et les moyens du CNFM PACA. Ces premières mesures nous permettent de valider un certain nombre de concepts théoriques innovants et coïncident parfaitement aux résultats attendus. Certaines structures ne figurent pas dans cette étude, ceci nécessiterait une présentation complémentaire, par exemple la synthèse de la fréquence de 13,56MHz réalisée en interne. De plus, une certaine surface du circuit a été réservée pour tester les blocs de la chaîne de démodulation indépendamment les uns des autres à l’aide d’un dispositif sous pointes. Ces mesures sont beaucoup plus longues à mettre en œuvre. Nous pourrons, dans une autre étude, présenter l’intégralité des résultats obtenus. Références [1] Normes ISO/CEI 14443-2 et ISO/CEI 15693-2 : ‘Cartes d’identification – Cartes à circuit(s) intégré(s) sans contact – Cartes de proximité (14443) – Cartes de voisinage (15693)’, 2000, Association Française de Normalisation. [2] Thomas H. Lee, ‘The Design of CMOS Radio Frequency Integrated Circuits’, Cambridge University Press, ISBN 0521-63922-0, 1998. [3] U. Kaiser, W. Steinhagen : ‘A low-power transponder IC for high-frequency identification systems’, IEEE Journal of solidstate circuits, vol. 30, n° 3, 1995, pp. 306-310. [4] Microchip : ‘microIDTM 13.56MHz RFID System Design Guid’, 1999 Microchip Technology Inc., July 1999 /DS21299C. [5] R. Puers, M. Catrysse, R.J. Collier, E. Louridas, F. Burny, M. Donkerwolcke, F. Moulart : ‘A telemetry system for the detection of hip prosthesis loosening by vibration analysis’, Elsevier Journal of Sensors and Actuators n°85, 2000, pp. 4247. [6] Q. Huang, M. Oberle : ‘A 0.5mW passive telemetry IC for biomedical application’, IEEE Journal of solid state circuits, Vol. 33, n°7, pp.937-946, 1998. [7] K. Finkenzeller : ‘RFID Handbook, Radio Frequency Identification : Fundamentals and Identification’, John Wiley & Sons Inc., 1999. [8] C. Toumazou, F. J Lidgey, D. G. Haigh : ‘Analog IC design: the current mode approach’, Peter Peregrinus, Edit 1990, UK, Londres. [9] R. J. Barker, H. W. Li, D. E. Boyce : ‘CMOS circuit design, layout, and simulation’, IEEE press Serie on Microelectronic Systems, S. K. Tewksbury, series Editor, New York 1998.
P16
CCMO
Apprentissage par projets : une application au domaine des télécommunications Richard Perdriau, Mohamed Ramdani, Ali Alaeldine, Bilel Ben Boubaker, Mathieu Feuilloy, Alain Le Duff, Guy Plantier, Anthony Sourice, Jérôme Tissier ESEO - 4, rue Merlet-de-la-Boulaye - BP 30926 - 49009 Angers Cedex 01 - France (Pôle CNFM de Rennes - CCMO) Présentation et contact : Mohamed Ramdani (
[email protected])
1
Introduction
Comme l’expliquent les spécialistes de l’Université Catholique de Louvain (Belgique) [1], "la rupture que vit un étudiant sortant de l’enseignement secondaire et entrant dans l’enseignement supérieur est un phénomène bien connu. Dans un apprentissage par projets ou par problèmes (APP), la démarche suivie est structurée autour d’un problème en lien avec l’exercice d’une profession plutôt que de matières ou disciplines. Il s’agit de confronter un groupe d’étudiants à une situation ou à un problème proches d’une pratique professionnelle donnée dans le but d’asseoir solidement les connaissances, les savoir-faire ou les attitudes nécessaires à l’exercice de cette profession". En raison de l’augmentation des effectifs étudiants à l’ESEO, l’équipe pédagogique du Département Electronique et Sciences Physiques a souhaité mettre en pratique cette technique réputée plus efficace en termes de motivation et d’acquisition des connaissances. Nous nous proposons de relater cette expérience dans cet article.
2 2.1
Mise en œuvre de l’APP Présentation de l’existant
Les connaissances de base en télécommunications sont enseignées à l’ESEO en première année ingénieur (L3), aux semestres 5 et 6. L’unité d’enseignement associée au semestre 5 comprend : – un cours magistral de traitement analogique du signal (27,5 heures, 2,5 ECTS), – un cours magistral de traitement numérique du signal (20 heures) et des travaux pratiques sur R Matlab (8 heures), l’ensemble valant 2 ECTS. En outre, avant l’introduction de l’APP, l’unité d’enseignement du semestre 6, valant 2 ECTS, était constituée de : – un cours magistral de filtrage et modulation (27,5 heures), – des travaux pratiques de communications numériques (8 heures),
– des travaux pratiques de filtrage numérique (4 heures).
2.2
Objectifs scientifiques et académiques
Il a été constaté que les résultats académiques obtenus par les étudiants au semestre 5 étaient satisfaisants. Par conséquent, l’unité d’enseignement "télécommunications" de ce semestre a été conservée sans changement. En revanche, en 2008-2009, les résultats du semestre 6 en filtrage, modulation et communications numériques étaient assez décevants : seuls 98 étudiants sur 179 (54,7 %) avaient obtenu leurs crédits sans examen de rattrapage. Une explication de ces résultats a été avancée : à cause du nombre d’étudiants dans la promotion (environ 180), les travaux pratiques avaient dû être organisés tout au long du semestre, si bien qu’un certain nombre d’étudiants n’avaient pas pu suivre le cours magistral avant les travaux pratiques correspondants. Une autre explication peut résider dans le manque de motivation des étudiants à la fin d’une longue année scolaire (au mois de juin) et qui n’avaient pu se concentrer que difficilement sur un cours magistral assez complexe. Dans le même temps, à l’initiative de Mohamed Ramdani, les enseignants-chercheurs de l’ESEO ont suivi une formation à l’apprentissage par projets, dispensée par Benoît Raucent et Piotr Sobieski, de l’équipe Formation Apprentissage Actif (FA2 L) de l’Université Catholique de Louvain [2]. Le Département Electronique et Sciences Physiques de l’ESEO a donc décidé de remplacer l’unité d’enseignement entière du semestre 6 par un APP de 40 heures étalé sur 3 semaines au mois de juin, pour un volume horaire identique à l’existant. L’objectif principal était évidemment de motiver les étudiants par l’intermédiaire d’une pédagogie active et de pérenniser leurs connaissances. Quant aux objectifs scientifiques, ils ont été exprimés comme suit : "A la fin de l’APP, les étudiants devront être capables : – d’analyser les contraintes imposées à une chaîne de transmission filaire (canal, message), – de maîtriser les ordres de grandeur liés aux signaux et systèmes (longueur d’onde, puissance),
P19
CCMO
– d’expliquer le filtrage et la modulation et d’en identifier leur utilité, – de choisir, assembler et mettre au point les blocs de la chaîne en fonction des contraintes initiales, – de démontrer, par simulation et par mesure sur un démonstrateur réel, que la chaîne réalisée répond à ces contraintes, – de réaliser et présenter en groupe un poster résumant les performances du système mis au point (forces, faiblesses)".
2.3
Organisation et ressources
Le nombre total d’étudiants en première année ingénieur en 2009-2010 était 188. La promotion a donc été divisée en 32 groupes de 5 ou 6 étudiants chacun. La répartition des étudiants entre les groupes a été faite par tirage aléatoire avec quelques contraintes supplémentaires : aucune ou bien deux filles par groupe (pas de filles isolées), au plus un redoublant par groupe. L’objectif était de forcer les étudiants à travailler en groupe même s’ils ne se connaissaient pas très bien, afin de reproduire une situation qu’ils retrouveront lors de leur vie professionnelle. La quasi-totalité des enseignants-chercheurs du département ont participé à l’APP en tant que tuteurs. Chaque tuteur devait s’occuper de 3 ou 4 équipes dans une salle ou bien deux salles adjacentes pour des raisons de commodité. Le projet a été mené sur la base de 10 demi-journées étalées sur 3 semaines. Les demi-journées pouvaient inclure : – des séances tuteurées (en majorité) : les tuteurs devaient guider les étudiants (pas trop cependant !) et s’assurer de la participation effective de chaque étudiant au travail d’équipe, – des séances non tuteurées, dans lesquelles les étudiants devaient travailler de façon autonome ; il s’agissait essentiellement de recherches bibliographiques ou bien de séances de codage. A ces séances s’est ajouté un "cours de restructuration" donné au milieu de l’APP par un enseignantchercheur spécialiste du domaine. Juste avant ce cours, chaque groupe avait eu la consigne de préparer deux questions transmises aux tuteurs. Après compilation de l’ensemble, le cours de restructuration a été organisé de manière à répondre à un maximum de questions jugées pertinentes pour l’ensemble des étudiants. La première séquence de l’APP (1h30) a été consacrée à un "APP 0", totalement décorrélé de l’APP "télécommunications". Les étudiants devaient construire un pont entre deux chaises, avec uniquement des spaghettis et du fil à coudre, et dont les tuteurs testaient ensuite la solidité. Cet "APP 0" n’était qu’un prétexte pour que les étudiants puissent se rendre compte de l’importance de la répartition des tâches à l’intérieur d’une équipe, et ensuite analyser les problèmes
pouvant survenir dans cette organisation. Les tuteurs ont ensuite expliqué à chaque équipe la nécessité d’une répartition efficace des tâches. En conséquence, chaque équipe devait désigner : – un "chef de projet" chargé d’orienter la discussion et d’allouer le temps de parole ; il devait également s’assurer que chaque étudiant participait effectivement à la réflexion, – un "scribe" dont le rôle était d’écrire au tableau en temps réel, sans filtrage ni jugement de valeur, l’ensemble des idées émises par le groupe, – un "secrétaire" rédigeant, en fin de séance, un compte-rendu regroupant les idées jugées pertinentes par l’ensemble du groupe, – optionnellement, un "gardien du temps" chargé de rappeler à l’ensemble du groupe les butées temporelles de la séance. Selon l’organisation choisie par le groupe, ces différents rôles pouvaient être assignés pour l’ensemble de l’APP ou bien changer au cours de ce dernier. L’étape suivante consistait à distribuer à chaque groupe le sujet de l’APP, un livret "étudiant", et un ouvrage scientifique de référence [3] ; pour chaque séance tuteurée ou non, le livret mentionnait de manière très claire et détaillée le planning, les objectifs et, si nécessaire, les livrables à produire en fin de séance. La réalisation de ce livret constitue certainement pour l’équipe pédagogique la tâche la plus longue et la plus complexe : il s’agit d’identifier, en fonction des pré-acquis supposés, combien de temps chaque étudiant est susceptible de passer sur une activité donnée ainsi que le résultat final qu’il est censé obtenir. Dans le cas de cet APP, seule la moitié du projet a été distribuée le premier jour, afin de laisser place à une "surprise" qui sera expliquée au paragraphe suivant. Le reste du livret a en fait été distribué après cet événement.
2.4
Sujet et éléments techniques
Par les hasards du calendrier, il se trouve que la dernière séance de l’APP était organisée le 18 juin, jour du 70me anniversaire de l’Appel du même nom. Nous avons donc bâti un sujet en l’honneur de cet événement historique et l’avons donc baptisé tout naturellement "l’APP du 18 juin". Ce sujet se présentait sous la forme d’une présumée lettre provenant de la "Résistance" en juin 2040. La France avait été envahie par des extra-terrestres, qui avaient détruit tous les moyens de communication sans fil et presque tous les moyens filaires. Un résistant, basé à Londres, avait réussi à décoder les communications extra-terrestres et s’apprêtait à essayer de transmettre la "clé de la liberté" aux résistants français (les étudiants) par une vieille ligne téléphonique restée secrète. Cette clé était en fait l’Appel du Général de Gaulle sous forme textuelle, information gardée confidentielle jusqu’à la dernière séance de l’APP.
P19
CCMO
L’objectif de l’APP consistait alors à identifier et implémenter un moyen de communication fiable d’un PC à un autre à travers une ligne téléphonique, et capable de transmettre n’importe quel type de message (texte, son, image). Pour ce faire, chaque équipe disposait : – de deux PC équipés d’une carte son et du logiciel R Matlab , – d’un générateur de fonctions BF, – d’un oscilloscope numérique, – d’une carte analogique spécifique (figure 1) avec filtre et générateur de bruit large bande, reproduisant un canal téléphonique ; la nature du filtre pouvait être modifiée de passe-bas à passebande à l’aide d’un cavalier, afin de mettre à la disposition des étudiants deux problèmes de transmission de nature différente, – d’une alimentation adaptée.
récepteur) de la transmission et la tester. Par la suite, nous avons demandé aux étudiants d’augmenter le débit binaire tout en maintenant la compatibilité avec le canal. Ceci permet d’introduire la notion de codage M-aire (2M niveaux de tension codant N bits consécutifs). De plus, la mise en œuvre du générateur de bruit large bande de la carte permet d’illustrer les inconvénients de cette technique, en introduisant le concept de diagramme de l’œil. En plein milieu de l’APP, une seconde lettre de la "Résistance" a été communiquée aux étudiants. Ce message indiquait que les extra-terrestres avaient découvert la ligne téléphonique cachée et changé ses caractéristiques. Ceci n’était qu’un prétexte pour changer la réponse en fréquence des cartes en mode passebande. Les étudiants ont pu se rendre compte que leur logiciel ne permettait alors plus de transmettre l’information. Ils ont donc effectué une nouvelle identification de la réponse du canal ; ils devaient alors justifier l’impossibilité de transmettre, en utilisant le concept de densité spectrale de puissance. Par la suite, une séance de recherche bibliographique leur a permis de découvrir le concept de modulation. Plusieurs techniques de modulation devaient être considérées, parmi lesquelles la modulation tout-ou-rien (OOK), la modulation par saut d’amplitude (ASK), la modulation par saut de phase (PSK) ou même la modulation par saut de fréquence (FSK). Le rôle des tuteurs consistait alors à éviter que les étudiants se dispersent sur une modulation trop compliquée à implémenter.
2.5 2.5.1
Fig. 1. Carte spécifique pour l’APP : canal (haut) et générateur de bruit large bande (bas)
Ainsi, les étudiants devaient successivement : – identifier (par la mesure) la réponse en fréquence de la ligne téléphonique simulée (configurée en mode passe-bas), – réaliser une étude bibliographique sur les technique de codage/décodage canal adaptées, afin de s’accommoder de la bande passante réduite du canal ; ici, ils utiliseraient typiquement un codage en bande de base de type non retour à zéro (NRZ) et calculeraient le débit binaire adéquat, – comprendre les principes de l’échantillonnage utilisé par la carte son, et ainsi choisir un nombre adapté d’échantillons par bit, R – coder sous Matlab les deux côtés (émetteur et
Evaluation des étudiants Evaluation individuelle
L’évaluation individuelle des étudiants a été réalisée au travers d’un QCM de 30 minutes (10 questions) programmé au milieu de l’APP (après le cours de restructuration). Ce QCM portait sur l’ensemble des concepts déjà vus au cours de l’APP (en fait tous sauf la modulation). Les résultats comptaient pour 40 % de la note finale de l’APP. 2.5.2
Evaluation collective
En ce qui concerne l’évaluation collective, nous avons demandé à chaque groupe d’étudiants de préparer un poster au format A0 résumant leur approche et leurs résultats. Deux séances de 2 heures chacune ont été consacrées à la préparation ; les posters devaient être manuscrits à l’exception des résultats de simulation bien entendu. Les posters ont été présentés lors de la dernière séance de l’APP, qui a été organisée dans le hall de l’Ecole sous forme d’une session posters analogue à celle d’un congrès. Chaque équipe a été évaluée par deux enseignants (n’incluant pas nécessairement le tuteur ayant encadré l’équipe) ; chaque étudiant(e) devait prendre la parole pendant
P19
CCMO
Fig. 2. Un des posters étudiants
2 à 3 minutes et expliquer ce qu’il/elle avait compris. La figure 2 présente un exemple de poster. Pendant la préparation des posters, les tuteurs passaient de groupe en groupe afin de vérifier l’état d’avancement du projet, en essayant de faire passer le texte de l’Appel du 18 juin d’un PC à l’autre (et en dévoilant le "pot au roses"). La note donnée par les examinateurs (poster et démonstration) comptait pour le reste (60 %) de la note finale de l’APP.
3 3.1
Résultats Notes
Les notes obtenues par les étudiants en 2009-2010 (arrondies à 0,5 près) ainsi que les grades ECTS associés sont résumés respectivement dans les tableaux 1 et 2. Il est à noter que le grade Fx (légèrement insuffisant) n’a pas été défini pour cet APP.
QCM Présentation TOTAL
Moyenne 14,09 14,93 14,77
Ecart type 3,42 1,29 1,64
Mini 4 12 10
Tab. 1. Notes de l’APP en 2009-2010
Maxi 20 17,5 18,5
Grade A B C D E F
Bornes 17,5 - 20 16 - 17 14.5 - 15,5 13 - 14 10 - 12,5 0 - 9,5
Nombre 10 41 69 45 20 0
Pourcentage 5.4 22.2 37.3 24.3 10.8 sans objet
Tab. 2. Grades ECTS de l’APP in 2009-2010
En comparaison, la moyenne de l’unité d’enseignement "télécommunications" en 2008-2009 était de 10,34 alors que les notes extrêmes étaient de 4,8 et 19. Comme il a été mentionné au paragraphe 2.2, seulement 98 étudiants sur 179 (54,7 %) avaient obtenu une note supérieure à 10 dans la session initiale et avaient donc reçu les crédits correspondants sans examen de rattrapage. Avec l’introduction de l’APP, tous les étudiants ont reçu leurs crédits du premier coup.
3.2
Point de vue des enseignants
L’introduction de l’APP a été une occasion pour l’ensemble du Département Electronique et Sciences Physiques de prendre le temps de réfléchir sur les fondamentaux de la pédagogie : pré-requis d’un cours,
P19
CCMO
expression de ses objectifs, adéquation entre un cours et son évaluation, motivation des étudiants. De plus, ceci a contribué à la clarification du rôle des tuteurs. Sur les 9 enseignants-chercheurs impliqués, 3 avaient uniquement des connaissances de base en traitement du signal et en télécommunications, et 2 une connaisR sance sommaire de Matlab . Néanmoins, tous les tuteurs ont pu encadrer leurs groupes avec succès et, somme toute à la surprise de l’équipe pédagogique, il y a finalement eu très peu d’écart entre les progressions des groupes en fonction des tuteurs. De plus, R les enseignants spécialistes de Matlab ont aidé leurs collègues à résoudre des problèmes liés spécifiquement à l’outil (par exemple la manipulation des matrices pour l’encodage et le décodage). D’une manière générale, un léger manque de préR requis sur Matlab a donc pu être observé chez les étudiants (et certains tuteurs !), ce qui avait parfois tendance à transformer le projet de télécommunications en un projet orienté langage, au détriment de la compréhension des concepts. La solution mise en œuvre pour l’année scolaire suivante consiste en l’introduction au semestre 5 d’un nouveau mini-projet R spécifique Matlab (20 heures), présentant tous les concepts requis pour l’APP au niveau du langage au travers d’une application plus modeste et plus encadrée, et remplaçant un certain nombre de travaux pratiques. De plus, l’équipe pédagogique a pu ressentir une véritable motivation de la très grande majorité des étudiants pour cette activité ; nous en voulons pour preuves : – le taux d’absentéisme quasi-nul lors de l’ensemble des séances non tuteurées, – la richesse des informations débattues et donc présentes sur les tableaux de tous les groupes, – la grande qualité d’une majorité de posters par rapport au temps imparti pour leur préparation (et au fait qu’il s’agissait pour les étudiants de leur premier poster au format congrès). En résumé, les résultats obtenus sont extrêmement positifs, et l’équipe pédagogique est convaincue que cette activité a permis aux étudiants d’acquérir un savoir (et un savoir-faire) solide et durable, beaucoup plus en tout cas que celui qui aurait pu être transmis au cours d’une activité "classique" de type cours/TD/TP.
3.3 3.3.1
ment lié à l’outil), – le choix du débit binaire en fonction de la fréquence d’échantillonnage des cartes son et de la réponse du canal, – les problèmes de synchronisation dus aux légères différences de fréquence d’échantillonnage entre les deux PC, – d’autres problèmes de synchronisation dus au comportement passe-haut des cartes son. Néanmoins, certains de ces problèmes ont été résolus sans l’aide des tuteurs par les étudiants les plus brillants. En particulier, ces derniers ont découvert que l’introduction d’un motif de synchronisation (sans composante continue) dans l’entête d’une trame pouvait les aider à résoudre les difficultés de synchronisation. Avant le cours de restructuration, chaque groupe devait préparer 2 questions écrites pour l’équipe pédagogique. Ce cours a été préparé et donné en fonction des problèmes mentionnés ci-dessus, et a duré 75 minutes (durée habituelle d’un cours à l’ESEO). En ce qui concerne la modulation, presque tous les groupes ont réussi à implémenter l’OOK. Un groupe a commencé à développer une modulation sd’amplitude en quadrature (QAM) mais n’a pas pu terminer par manque de temps. 3.3.2
Opinions et retour d’expérience
Selon le modèle fourni par l’équipe FA2 L, tous les étudiants se sont vu remettre, lors de la dernière séance de l’APP, une grille d’auto-évaluation (figure 3) comprenant une notation en 6 rubriques (à noter de 1 à 4). A cette grille s’ajoutaient quelques questions ouvertes : points ayant bien ou mal fonctionné pour le travail en groupe, améliorations possibles.
Point de vue des étudiants Difficultés techniques
Les questions les plus fréquemment posées, correspondant aux aspects les plus compliqués du projet du point de vue des étudiants, étaient : – la différence entre codage source, codage canal et codage en bande de base, R – la manipulation du message en Matlab et sa transformation en flot de bits (problème unique-
Fig. 3. Grille d’auto-évaluation (d’après FA2 L)
Après compilation de l’ensemble des réponses fournies, il s’avère d’une manière générale que les étu-
P19
CCMO
diants sont relativement peu critiques sur l’APP luimême (sujet, rôle des tuteurs), mais nettement plus sur leur propre organisation et, pour certains, sur l’ambiance au sein de l’équipe. Parallèlement à cela, il est à remarquer que même les étudiants redoublants qui avaient obtenu leurs crédits l’année précédente ont quand même assisté à l’APP même si cela était pour eux facultatif. Ceci démontre l’intérêt de cette technique.
4
Conclusion
Au cours de l’année scolaire écoulée, le Département Electronique et Sciences Physiques de l’ESEO a pu développer et mettre en œuvre avec succès un Apprentissage par Projets consacré aux savoirs de base en télécommunications. Certes, la construction d’une telle activité exige l’adhésion de l’ensemble de l’équipe pédagogique ainsi qu’une somme de travail conséquente, mais les résultats obtenus au niveau de la compréhension et de la motivation des étudiants (et des notes !) sont tels que l’équipe pédagogique a unanimement décidé de reconduire cette activité pour l’année scolaire 2010-2011. Dans l’avenir, les effectifs étudiants de l’ESEO augmentant sans cesse, il deviendra sans doute de plus en plus souhaitable d’enseigner selon cette méthode. Les autres départements d’enseignement de l’ESEO sont d’ailleurs en train de bâtir leurs propres APP.
Références [1] O. Bonaventure, J.M. Braibant, C. Pêcheur, B. Raucent, P. Sobieski, and P. van Bastelaer. Apprentissage par Projets : livret tuteur APP0. 2008. [2] Equipe Formation Apprentissage Actif (FA2 L) de l’Université Catholique de Louvain. http ://www.fsa.ucl.ac.be/FA2/index.html. [3] J.L. Azan. Précis d’Electronique - Deuxième Année. Bréal, 2005.
P19
PACA
MISE EN OEUVRE DES IPS DE LA PLATEFORME CONCEPTION CIM-PACA David Pereira, Serge Imbert, Joran Pantel, Pierre Bricaud, Michel Dubois & Gilles Jacquemod Pôle CNFM PACA Maya Technologies, 2405 Route des Dolines, 06902 Sophia Antipolis Synopsys, 400 Avenue de Roumanille, 06410 Sophia Antipolis Plateforme Conception, 1645 Route des Lucioles, 06410 Biot Polytech’Nice-Sophia, 1645 Route des Lucioles, 06410 Biot Introduction Dans le cadre du projet CIM-PACA, trois plateformes technologiques ont été créées en 2005, gérées par des associations « Loi 1901 ». Une quatrième association, ARCSIS, a été également créée afin de préserver une cohérence entre les trois plateformes technologiques (Caractérisation, MicroPacS (Micro Packaging et Sécurité) et Conception) et le dispositif CIM-PACA. La thématique principale de recherche liée à ARCSIS et aux trois plateformes, concerne la conception et la réalisation d’objets communicants sécurisés [1]. Dans le cas plus spécifique de la Plateforme Conception, les objectifs sont de : - Développer des méthodologies de conception et de validation pour les systèmes sur puce (SoC : System on Chip) et systèmes en boitier (SiP : System in Package, projet CIM-PACA 2011) de nouvelles générations dans le domaine des solutions communicantes sécurisées, - Valider ces solutions sur des prototypes ASIC et FPGA. La plateforme permet de regrouper d’une part un parc d’outils de R&D innovants, et d’autre part, des chercheurs des laboratoires privés et publics à travers des projets de recherche fédérateurs visant à développer de nouvelles méthodologies de conception et de validation pour les « System On Chip » (SoC) et « System In Package » (SiP) de nouvelles générations dans le domaine des solutions communicantes sécurisées. Elle permet également la mise en commun de blocs d’IP (Intellectual Properties) à travers la réalisation de démonstrateurs (sous forme de preuves de concept) et l’étude de standardisation pour des applications d’objets communicants sécurisés. La plateforme vise deux objectifs principaux : - développement de projets mutualisés entre plusieurs partenaires, membres de la plateforme - aide au développement de PME/PMI et de start-up par un accompagnement de nouveaux projets et la mise à disposition des moyens matériels et logiciels à un coût très attractif. Les équipements logiciels (ainsi que le serveur de calcul, cf. Figure 1) de la plateforme Conception sont principalement localisés sur le site de Sophia Antipolis, dans les locaux du CNFM hébergé par Polytech’Nice-Sophia. Cette proximité a déjà permis d’utiliser certains moyens de la Plateforme à des fins pédagogiques, afin de réaliser une série de travaux pratiques sur la machine d’émulation Veloce [2].
O9
PACA
L’objectif de ce papier est de présenter la bibliothèque d’IPs (Intellectual Properties) ou IP Room, les outils de mise en œuvre de ces composants, qui seront utilisés lors d’un projet d’étudiants interPolytech (Marseille et Nice), ainsi que l’ISEN Toulon.
Figure 1: Architecture du serveur de calcul de la Plateforme Conception CIM-PACA
IP room et outils associés Le concept de l’IP Room est de créer une base de données centralisée de blocs microélectroniques (ou IP) valides pour permettre aux membres de la plateforme Conception CIMPACA de créer leurs démonstrateurs FPGA ou SoC intégrant des fonctions standards de l’industrie. Le second concept fondamental est de garantir que ces IPs sont au standard industriel IP-XACT afin de permettre leur interopérabilité. La mise disposition des outils pour créer, valider et interconnecter des blocs IP, appelés coreTools, constitue le dernier élément important de cette IP Room. Cet ensemble est constitué de 3 logiciels : coreBuilder, coreAssembler et coreConsultant (cf. Figure 2). L’idée directrice est de permettre au créateur d’un bloc IP de le développer suivant un process qualifié avec coreBuilder, puis de l’assembler dans le futur système ou sous-système avec coreAssembler et finalement de le packager au standard IEEE 1685 IP-XACT avec coreConsultant.
Figure 2: Outils pour créer, valider et interconnecter les blocs IP
IP-XACT a été standardisé par la norme IEEE 1685 pour permettre l’interconnexion automatique des blocs IP suivant des règles très précises et leurs utilisations dans les flots de conception SoC-FPGA standards. Le groupe garant du standard vis-à-vis de l’IEEE constitue l’association Accellera [3]. Le format des règles IP-XACT est en langage XML, définissant un
O9
PACA
ensemble de spécifications pour permettre de documenter sous forme de Meta-Data les blocs IP. La description Meta-Data va permettre de configurer, intégrer et vérifier les blocs IP dans la conception de circuits SoC ou FPGA. La spécification IP-XACT permet la mise en œuvre de nouvelles technologies silicium afin de garantir le développement de circuits, de la phase de conception à la phase de production en passant par le prototypage. Au sein de l’IP Room de la Plateforme Conception, nous disposons principalement de blocs IP spécifiques de la gamme de produits Synopsys DesignWare Cores : DWC 1394, DWC 6811, DWC 8051, DWC AMBA , APB , DWC DMA, DWC, Ethernet MAC 10/100, DW JPEG, DWC PCI Express, DWC SATA, DWC USB 1.1 , 2.0. De plus, les logiciels spécifiques Innovator et System Studio, associés à une bibliothèque complète de modèles TLM (OSCI), permettent aux utilisateurs de créer des Plateformes Logicielles Virtuelles et d’intégrer leurs blocs IP novateurs dans un ensemble de sous-systèmes ou systèmes complexes.
Exemple de mise en oeuvre Afin de valider la fonctionnalité des outils sur la Plateforme Conception et de servir de « guideline » pour les futurs utilisateurs, un démonstrateur a été réalisé. Ce dernier devait être suffisamment complexe pour vérifier que l’ensemble des outils soit opérationnel et ainsi débroussailler les éventuels écueils. A contrario, il ne devait pas être d’une complexité trop grande pour éviter une débauche d’énergie dans sa mise en œuvre. De ce fait, il a été choisi de concevoir une plateforme basique d’un SoC qui pourrait être potentiellement réutilisée par des futurs utilisateurs. Cette plateforme, illustrée par la figure 3, est basée sur un processeur central (Leon2), une connexion de l’ensemble des périphériques avec un bus AMBA, et quelques périphériques.
Figure 3: Schéma de la plateforme SoC proposée
O9
PACA
Pour être au plus près possible de l’utilisation standard des outils, nous présentons 3 modes d’intégration d’IPs : a - Intégration de plusieurs IPs fournis dans le catalogue de base de l’IP Room L’ensemble des outils coreTools sont livrés avec un certain nombre d’IPs standards, qui sont déjà installés sur la plateforme Conception. Ces IPs ont ainsi été facilement instanciés et utilisés sous coreAssembler, en particulier l’ensemble des IPs du bus AMBA (AHB Arbiter, AHB-APB bridge, Memory Controller). b - Intégration d’IPs disponibles dans le catalogue des coreKits de Synopsys Quelques IPs du catalogue Synopsys, disponibles lors de l’installation des outils coreKits, ont également été intégrés sur la plateforme Conception. L’essai a été fait de façon gratuite sur différentes mémoires, mais également avec l’achat d’IPs spécifiques à Synopsys (USB core). c- Intégration de plusieurs IPs à partir de leur description RTL Enfin, nous avons testé l’intégration d’un bloc décrit en code RTL, sans package coreTools, dans l’ensemble du flot coreKit. En effet, les deux premières étapes ont été d’une grande simplicité car issues du flot standard et facilitées par le fait que nous n’utilisions que des IPs développés avec les outils coreKits. De plus, ces IPs étant déjà packagés, leur utilisation est directe sous coreAssembler. Le processeur Leon et ses périphériques (clock/reset/interrupt controller) ont été téléchargés depuis le site de Gaisler [4]. Bien que ce dernier fournisse un ensemble d’outils pour développer une plateforme, il n’a été fait usage que du code source RTL. Ce code source a été utilisé dans l’ensemble des outils coreKits (coreBuilder, coreConsultant, coreAssembler). Avec ces trois types d’intégration, l’ensemble des outils et de leur installation a pu être corrigé et validé.
Guide d’utilisation Afin de faciliter l’utilisation de l’ensemble des outils coreKit dans l’environnement de la Plateforme Conception CIM-PACA, un User Guide est en cours de finalisation. Ce document sera fourni à chaque nouvel utilisateur et comprendra : - 1 database comprenant le démonstrateur finalisé, avec son ensemble de fichiers et de scripts - 1 document explicitant comment installer le démonstrateur, mais surtout quelles sont les étapes pour utiliser les coreKits. Ce document vient en complément de la documentation Synopsys, puisqu’il a été conçu pour être un facilitateur d’utilisation dans une configuration bien spécifique, et n’est donc pas par essence aussi exhaustif que la documentation Synopsys. Avec cet ensemble « user guide », un nouvel utilisateur pourra avoir accès directement à une plateforme basée sur un cœur Leon et un bus Amba avec quelques périphériques. Cette plateforme pourra être utilisée comme base pour l’intégration d’un nouvel IP. De plus, la possibilité de générer une « netlist » sera également offerte. Cela permettra d’utiliser une plateforme physique afin de valider son IP, soit sous la forme de FPGA (type chipIT), ou sous la forme d’un module de test silicium. La figure 4, extraite de ce document, présente l’intégration d’un nouvel IP dans le démonstrateur.
O9
PACA
Figure 4 : Intégration d’un IP dans la plateforme de démonstration
Conclusion Les outils et l’IP Room, proposés par la Plateforme Conception CIM-PACA, ont permis et permettent de développer des projets collaboratifs à la pointe de la technologie/méthodologie, de tester de nouvelles IPs et d’aider les jeunes pousses de la région PACA à développer leurs idées innovantes avec des outils/blocs IP de qualité industrielle. Nous espérons continuer le développement de l’IP Room en ajoutant de nouveaux blocs IP et aussi explorer le domaine du logiciel embarqué. Enfin, un projet d’étudiants entre plusieurs membres du pôle CNFM PACA doit débuter début janvier 2011. Il aura pour objectif d’utiliser l’IP Room pour développer un système de réseau de capteurs sans fil, puis de tester la solution sur FPGA et en utilisant la machine d’émulation Veloce et de réaliser un ASIC pour les parties analogues et RF.
REFERENCES [1] http://www.arcsis.org [2] F. Muller, G. Jacquemod & R. Bouchakour, «Vérification de SoC sous Veloce», Proc. 10ème Journées Pédagogiques du CNFM, Saint Malo, 2008, ISBN : 2-9522395-2-5 [3] http://www.accellera.org [4] http://www.gaisler.com/cms/index.php?option=com_frontpage&Itemid=1
Remerciements Les auteurs tiennent à remercier le CG06 et le CR PACA pour son soutien financier dans le programme CIM-PACA, ainsi que la Plateforme Conception pour son support.
O9
CCMO
TP d’initiation à la lithographie AFM : application à la fabrication de résistance à partir de nano-ruban de silicium amorphe Laurent Pichon, Régis Rogel, Hervé Lhermite Groupe Microélectronique, IETR, UMR CNRS 6164, campus de beaulieu, 35042 Rennes cedex, France
Résumé Cet article présente des travaux pratiques sur la réalisation et la caractérisation électrique de résistance fabriquée à partir de nano-ruban de silicium amorphe obtenu par lithographie AFM. La résistance est fabriquée en salle blanche puis caractérisée électriquement par mesures I-V.
Mots clés : lithographie AFM, oxydation locale, nano-ruban, silicium amorphe, résistance
I. Introduction Face au besoin croissant en termes de performances des circuits électroniques, la miniaturisation des composants électroniques, liées au rythme d’intégration indiqué par l’ITRS (International Technology Roadmap for Semiconductors), conduit à d’autres approches architecturales des composants à l’échelle du nanomètre. Aussi, l’élaboration de nano-objets à base de silicium comme éléments de base de ces composants devient incontournable. Dans une approche dite « Top down » ceci implique la mise en place de technologies de fabrication toujours de plus en plus sophistiquées, comme par exemple le microscope à force atomique utilisé comme outil de lithographie dans le TP présenté. Cette proposition de TP peut être un complément aux formations sur les « Nanotechnologies » dispensées dans les masters ou filières d’écoles d’ingénieurs relevant des nanosciences. Aussi les points forts du programme pédagogique abordé au cours de ce stage pratique concernent les technologies de fabrication (photolithographies UV, gravure plasma,…) et les moyens de caractérisation structurale (microscopies AFM-Atomic Force Microscopy) entrant dans la fabrication de dispositifs nanoélectroniques en technologies silicium intégrée. L’objectif de cette formation est double, d’une part sensibiliser les étudiants au travail en salle blanche, et d’autre part les initier à la manipulation d’un outil de caractérisation structurale et de lithographie très sophistiqué tel que le microscope à force atomique ainsi qu’à ses applications potentielles dans la réalisation et l’observation d’objets nanométriques. La proposition de TP est prévue pour se dérouler sur 2,5 jours dans la salle blanche de la centrale de technologies du Groupe Microélectronique de l’Institut d’Electronique et des Télécommunications de Rennes (GM-IETR-UMR 6164). De plus, le travail demandé s’inscrit pleinement dans le cadre des activités de recherche du GM-IETR concernant la réalisation et la caractérisation électrique de microdispositifs électroniques en couches minces de silicium (poly- micro- ou nano-texturé). Ceci constitue un plus dans la formation d’étudiants se destinant à la recherche.
P30
CCMO
II Contenu du TP 1) Lithographie AFM : principe La réalisation de motifs d’oxyde nanométriques sur les substrats de silicium de textures différentes utilise une technique mise au point dans les années 90 [1]. Cette technique a pour finalité la réalisation de motifs d’oxyde par oxydation locale du silicium utilisant un procédé d’anodisation de surface à l’aide de la sonde du microscope AFM. Une tension de polarisation négative de la sonde par rapport à la surface du substrat favorise la réaction du silicium avec l’oxygène de molécules d’eau adsorbées en surface donnant naissance à un oxyde de silicium lors du passage de la pointe (fig. 1). La définition (épaisseur et largeur) du motif de l’oxyde ainsi formé dépend à la fois du taux d’humidité ambiant, de la tension de polarisation de la pointe, et de la vitesse de déplacement de celle-ci. [2]. Cette technologie peut être une alternative à celles utilisées (UV lointains, e-beam) pour l’écriture de motifs nanométriques. Elle a en particulier permis la fabrication de nano objets tels que des nanofils en Si-mono [3] en utilisant l’oxyde ainsi réalisé comme masque de gravure ; de tels nanofils pouvant servir de zone de canal pour des nanotransistors [4].
Figure 1 : Oxydation locale du silicium par AFM
Une première partie du TP est consacrée à la prise en mains du microscope AFM, à des essais de lithographie sur des substrats à base de silicium (monocristallin ou amorphe) puis à l’observation (mesures des dimensions) et l’optimisation des motifs d’oxydes réalisés. 2) Procédé de fabrication de la résistance Les résistances sont fabriquées à partir de substrat (verre ou de silicium monocristallin) recouvert d’un oxyde de protection préalablement déposé par procédé de décomposition chimique en phase vapeur à pression atmosphérique, procédé APCVD (Atmospheric Chemical Vapor Deposition). Une couche mince de silicium polycristallin fortement dopé insitu (dopage de type N à partir de la phosphine-PH3), de 200 nm d’épaisseur, est ensuite déposée par procédé CVD à basse pression (LPCVD – Low Pressure CVD). La concentration en phosphore est 2×1020 cm-3. Cette couche de silicium polycristallin est préalablement déposée au laboratoire avant l’intervention des étudiants. Le silicium est d’abord déposé en phase amorphe à 550°C à la pression de 90 Pa, puis cristallisé par recuit thermique à 600°C sous vide. Une première étape de photolithographie UV classique suivie d’une gravure plasma (RIE – Reactive Ion Etching) permettent de définir des plots qui serviront d’électrodes de
P30
CCMO
Si-poly fortement dopé (N+)
Substrat (isolant)
(a) Photolithographie UV classique suivie d’une gravure plasma (sous SF6) du silicium polycristallin fortement dopé : formation des électrodes
Silicium amorphe
Substrat (isolant)
(b) Dépôt de silicium amorphe non dopé, puis oxydation locale par AFM : formation de l’oxyde de masquage
Nano-ruban
Substrat (isolant)
(c) Gravure plasma (sous SF6) du silicium amorphe : formation du nano-ruban de silicium amorphe
Figure 2 : procédé de fabrication de la résistance
contacts de la résistance (fig. 2 (a)). Ensuite, un deuxième dépôt de silicium amorphe (épaisseur 40 nm) est réalisé par procédé LPCVD. Après un nettoyage dans une solution d’acide fluorhydrique très diluée (2 %), une étape de lithographie à l’aide du microscope AFM est réalisée sur l’échantillon. Un masque d’oxyde de forme filaire est ainsi élaboré entre les deux plots de silicium polycristallin fortement dopés (fig. 2 (b)). La tension de polarisation de la sonde du microscope est fixée à – 10 V avec une vitesse de déplacement de 1 µm s-1, et le taux d’humidité est celui fixé dans la salle blanche soit 45 %. Dans ces conditions le
P30
CCMO
masque d’oxyde réalisé présente une hauteur voisine de 2 nm pour une largeur à la base de 0,4 µm [5]. L’utilisation du silicium amorphe comme élément de résistance se justifie par le fait que l’oxydation locale par AFM sur du silicium polycristallin n’est pas aussi aisée. Ceci peut trouver une explication liée aux défauts (de type liaisons pendantes) plus importants dans le silicium amorphe, qui auraient pour effet de favoriser la formation de liaisons Si-O contribuant ainsi à la formation de l’oxyde [6]. Une deuxième étape de gravure plasma (gaz réactif SF6) du silicium amorphe permet alors la formation du nano-ruban de silicium amorphe entre les deux électrodes (fig. 2 (c)). Les conditions de gravure plasma sont telles que la sélectivité entre l’oxyde obtenu par lithographie AFM et le silicium amorphe soit suffisamment élevée pour assurer la fonction de masquage de l’oxyde. Ainsi un nano-ruban continu de silicium amorphe d’une épaisseur d’environ 20 nm est lithographié par ce procédé sur une longueur de plus de 10 µm entre les deux plots de silicium polycristallin fortement dopés servant d’électrodes. La largeur du nano-ruban (environ 0,8 µm) est plus élevée que celle du masque d’oxyde [5]. Ceci est le résultat de plusieurs phénomènes. Le premier est la diffusion latérale des ions oxygène [7] contribuant à un étalement de l’oxyde ainsi formé. Un moyen de limiter ce phénomène serait de travailler avec une tension de polarisation de la sonde en mode pulsé, ce qui aurait pour effet de réduire la diffusion latérale, et aussi d’augmenter la vitesse de croissance verticale de l’oxyde, conduisant à la formation d’un oxyde de masquage avec une haut facteur de forme (hauteur/largeur) [8]. Ceci devrait rendre possible alors la réalisation de nanofils de silicium amorphe. Les autres raisons associées à cet effet d’élargissement du motif en silicium amorphe gravé sont un taux d’humidité trop élevé [2] et la forme géométrique de la pointe de la sonde du microscope pas assez profilée. Electrodes Silicium polycristallin fortement dopé (N+)
Nano-ruban en silicium amorphe
Figure 3 : Cliché AFM de la résistance électrique (vue de dessus). D’après [5].
3) Caractérisation électrique La résistance ainsi réalisée peut alors être testée électriquement par les étudiants grâce à des mesures I-V. Le dispositif de mesures à disposition est un HP 4155 A. La figure 4 représente la courbe I(V) de ce type de résistance. Une estimation de la résistance électrique du dispositif fabriqué est extraite de la pente de la caractéristique électrique au voisinage de l’origine. La valeur de la mesure donne 40 MΩ.
P30
CCMO
-7
4.0x10
-7
3.0x10
-7
2.0x10
-7
I (A)
1.0x10
0.0 -7
-1.0x10
-7
-2.0x10
-7
-3.0x10
-7
-4.0x10
-5
-4
-3
-2
-1
0
1
2
3
4
5
V (V)
Figure 4 : Caractéristique électrique I(V) de la résistance.
III- Conclusion Cette formation est en adéquation avec les activités de recherche relevant du domaine des nanosciences et plus particulièrement en nanotechnologies silicium. Elle sensibilise les étudiants à la complexité des moyens mis en œuvre dans la réalisation d’objets et le développement de dispositifs électroniques nanométriques. De plus, elle permet aux étudiants de découvrir l’environnement d’une salle blanche et de les initier à l’utilisation de certaines technologies de fabrication (aligneur UV, gravure plasma…) et de moyens de caractérisations structurales (dont la microscopie AFM) entrant dans la fabrication de dispositifs nanoélectroniques. La spécificité rennaise de ce TP est de travailler avec des matériaux en couches minces à base de silicium synthétisés au GM-IETR, et donc en rapport avec des thématiques de recherche actuelles. Le contenu de cette formation est en pleine adéquation avec les évolutions actuelles de la microélectronique vers la nanoélectronique. Une telle formation est une bonne approche pour sensibiliser les étudiants aux défis technologiques majeurs auxquels doivent faire face à l’heure actuelle les technologues pour le développement de l’électronique intégrée de la prochaine décennie. Notons que ce type de formation couvre un large champ disciplinaire (chimie, matériaux, physique, micro- et nano-électronique) et qu’elle peut convenir à un public d’étudiants issus des filières correspondantes en rapport avec les nanotechnologies. Aussi ce TP peut être proposé aux étudiants de 2e année de Master ou de formations équivalentes dépendant des universités ou des écoles d’ingénieurs. Cette offre de formation vient étoffer la palette des formations proposées, dans le cadre de la formation initiale ou continue, aux établissements d’enseignement supérieur du grand ouest (Caen, Rennes, Brest, Nantes…) par le pôle rennais du CNFM.
P30
CCMO
Références [1] J. A. Dagata J. Schneir, H. H. Harary, C. J. Evans, M. T. Posteck, J. Bennett “Modification of hydrogen-passivated silicon by a scanning tunnelling microscope operating in air” Appl. Phys. Lett., 56, 2001 (1990). [2] P. Avouris, T. Hertel, R. Martel, « Atomic force microscope tip-induced local oxidation of silicon : kinetics, mechanism, and nanofabrication » Appl. Phys. Lett., 71 (2), 285 (1997). [3] B. Legrand, H. Happy, « TP de nanotechnologie. Réalisation et observation de nanofils de silicium à l’aide de l’AFM », Huitièmes journées pédagogiques du CNFM, Saint Malo (France), 1-3 décembre 2004,pp 73-78. [4] I . Ionica, L. Montes, S. Ferraton, J. Zimmermann, L. Saminadayar, V. Bouchiat, “Field effect and coulomb blockage in silicon on insulator fabricated by atomic force microscope“ Sol. St. Elect., 49, 1497 (2005). [5] L. Pichon, R. Rogel, F. Demami “Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications“ Semicond. Sci. Technol. 25 065001 (2010). [6] G. Sarrabayrousse, P. Taurines, E. Scheid, D. Bielle Daspet, A. Martinez Thin Soild Films 197 21 (1991) [7] A. E. Gordon, R. T. Fayfield, D. D. Litfin, T. K. Higman, J. Vac. Sci. Technol. B 13, 2805 (1995) [8] M. Calleja, R. Garcia, Appl. Phys. Lett. 76, 3427 (2000)
P30
AIME
Test Industriel : Offre Pédagogique Nationale Béatrice Pradarelli1, Laurent Latorre1,2, Pascal Nouet1,2, Régis Lorival1,2,3 1
2
pôle CNFM de Montpellier Laboratoire d’Informatique, Robotique et Microélectronique de Montpellier / Université Montpelier II 3 CNRS
Resumé Cet article présente les formations en test industriel proposées par le Centre de Ressources en Test du CNFM (CRTC), ainsi que les diverses collaborations en cours, concernant le support à la recherche académique et industrielle. Mots clefs : Test, Testabilité, Programmation d’ATE, Diagnostic de pannes.
Introduction Le Centre National de Ressources en Test du CNFM [1], le CRTC, a été créé en 1998 pour répondre à la demande industrielle concernant la formation d’ingénieurs à la Conception et au Test de circuits intégrés. Le coût important d’un testeur industriel (~1M€) a conduit à un achat unique par le CNFM pour toutes les universités de France, et à la mise en place d’un dispositif de mutualisation de l’équipement de test. Le testeur a été installé à Montpellier à proximité du LIRMM [2] afin de bénéficier de la compétence de plus de 25 chercheurs et enseignants travaillant dans ce domaine du test (DFT, BIST pour circuits digitaux et mixtes, test de MEMS). De plus, le CRTC dispose d’un ingénieur en test qui gère le support technique aux utilisateurs et le développement de formations. Afin d’éviter de générer des dépenses excessives, liées au déplacement d’un nombre important d’élèves pour venir suivre les formations en test à Montpellier, l’organisation du CRTC a été conçue pour permettre un accès facile au testeur depuis toute université distante via Internet [3]. Depuis plus de 10 ans, le CRTC forme des étudiants et des ingénieurs aux métiers du test
industriel et fournit un support aux activités de recherche dans ce domaine. Un partenariat privilégié avec la société Verigy®, permet au CRTC de former également des ingénieurs du milieu industriel, avec une orientation pédagogique professionnelle. Cet article présente les derniers développements réalisés au sein du CRTC, qu’il s’agisse de l’environnement de test, des formations proposées ou de l’utilisation du testeur pour le support à la recherche.
Contexte Industriel Le test de production des circuits intégrés consiste à vérifier le fonctionnement et les performances des produits fabriqués par rapport à un ensemble de spécifications. L’objectif est de détecter les circuits défectueux le plus tôt possible dans le cycle de fabrication, afin de limiter les coûts associés à la transformation de produits nonconformes. On peut considérer en effet, qu’il existe un facteur 10 au niveau du coût entre deux étapes consécutives de fabrication. Par exemple, le rejet d’une puce nue (die) coute environ 10 fois moins d’argent que le rejet de la même puce mise en boîtier. Dans le contexte industriel, il existe deux types de tests : le test de caractérisation et le test de production. Les tests de caractérisation sont effectués avant la mise en production d’un produit. L’objectif est bien sûr de vérifier la fonctionnalité du composant mais surtout d’évaluer ses performances telles qu’elles apparaitront dans la datasheet. Ce test concerne les paramètres statiques (DC) tels que les niveaux de tension de sortie, les courants de fuite sur les entrées, la capacité en courant du circuit ; et les paramètres dynamiques (AC) tels que les temps de propagation, d’établissement (setup), de maintien (hold) et la fréquence de
P1
AIME
fonctionnement. Les tests de caractérisation ne sont pas soumis à de fortes contraintes temporelles mais doivent fournir des informations précises et des données statistiques afin de déterminer, pour chaque paramètre, sa plage de valeurs. La datasheet d’un circuit intégré est un document contractuel qui indique les performances garanties. Le test de production vérifie que les circuits fabriqués sont conformes à la datasheet. Tous les circuits sont testés ce qui implique que le test de production doit être optimisé en temps. Le test de production consiste en l’exécution séquentielle de tests élémentaires (testflow). Chaque test élémentaire dispose d’une sortie Pass et Fail (voir Figure 1) ce qui permet d’arrêter le processus dès qu’une défaillance est détectée et de tester un nouveau circuit ou de continuer à tester le composant dans des conditions moins strictes. Dans ce deuxième cas, si le composant passe les tests moins exigeants, il pourra être vendu mais à un prix inférieur pour un niveau de performance moins élevé.
façon à ce que les ressources (licences et testeur) puissent être accessibles depuis tout site distant via Internet. La figure 2 représente l’organisation locale. Depuis une salle de classe, les étudiants accèdent depuis un ordinateur ou une station de travail à un serveur de licences et d’application. Comme une seule session de test online n’est disponible à la fois, dû à l’unicité du testeur, les étudiants développent leur programme de test sur simulateur (en mode offline) et utilisent le testeur uniquement lorsque leurs tests sont prêts à être débugués et validés. VERIGY_ON Linux
VERIGY_OFF2 Linux
SmarTest® “Offline Mode” SSH & VNC servers
SmarTest® Online & Offline Mode SSH & VNC servers
Tester
VNC Classroom with Terminals
Remote Login
Account Server
Figure 2 : Environnement de test du CRTC
Accès à distance
Figure 1 : Exemple d’un flot de test (testflow) comprenant 2 « good bin » pour classer les circuits en fonction de leurs performances en fréquence
Il y a généralement deux tests de production, celui effectué au niveau des wafers (wafer-sort) et celui réalisé après l’assemblage un boîtier (finaltest)
Environnement de test du CRTC Réseau Le CRTC a la responsabilité de mettre des ressources de test à la disposition des universités du réseau CNFM (en France et à l’étranger). De ce fait, l’environnement réseau a été architecturé de
Proposer un accès facile au testeur depuis un site distant ainsi qu’un support interactif lors du déroulement de travaux pratiques, ont été les critères retenus pour sélectionner l’outil de partage de bureaux distants. Grâce à l’utilisation de la technologie VNC (Virtual Network Computing) [4], le concept de « salle de classe virtuelle » a été expérimenté. Cette approche permet à tous les étudiants d’une classe de partager leur session, renforçant ainsi l’interactivité entre eux mais aussi avec l’enseignant car ce dernier peut intervenir sur la session en cours d’un étudiant en difficulté et en prendre le contrôle (souris) pour le guider. Seule l’adresse IP du server et l’installation d’un client VNC disponible gratuitement sont nécessaires pour accéder aux ressources de test du CRTC. De cette façon, l’utilisateur distant n’a pas besoin de se préoccuper de l’installation, et de la mise à jour des logiciels de test, et des licences associées. La technologie VNC et d’autre part générique et disponible sous tout type de plateforme matérielle et d’OS.
P1
AIME
Testeur Industriel Depuis fin 2006, le CRTC s’est doté d’un tout nouveau testeur, le V93K fabriqué par la société Verigy®, relançant ainsi le partenariat industriel avec cette société qui est l’un des principaux fabricants d’équipement de test dans le monde et particulièrement bien implanté chez les fondeurs en Europe. La figure 3 représente les éléments de base composant un testeur industriel ou ATE (Automatic Test Equipment). La partie principale est la tête de test qui contient toutes les cartes électroniques et l’instrumentation. La tête de test disponible au CRTC peut recevoir jusqu’à 16 cartes d’instrumentation. Une carte d’E/S digitale adressant actuellement 32 voies, la tête est dimensionnée pour un total de 512 E/S digitales, ce qui est très suffisant dans le cadre des missions du CRTC. Dans l’industrie, il existe aussi des têtes de test de capacité 1024 et 2048.
Le développement de programmes de test se fait à partir d’un logiciel dédié (SmarTest) sous Linux. La communication entre l’ordinateur et le testeur se fait par fibre optique.
Formations en test Le CRTC étant le premier utilisateur du testeur, nous avons développé et partageons nos supports de formation. Précédemment, ces derniers étaient basés sur les supports de la société Verigy®. Ces supports sont conçus à l’attention des ingénieurs du milieu industriel et se focalisant d’avantage sur la mise en œuvre du testeur que sur les concepts fondamentaux de test. Les formations de test proposées par le CRTC s’adressent plutôt à des étudiants de niveau DUT, de niveaux L, M, D, à des enseignants (formation de formateurs) et peuvent néanmoins être adaptés pour un public industriel. Pour les étudiants de niveau IUT et L, la formation concerne uniquement le test industriel de circuits digitaux et met l’accent sur les aspects de caractérisation et la vérification des paramètres électriques et temporels de la datasheet. Au niveau M ou ingénieur, les modules sont dimensionnés pour fournir une réelle expérience professionnelle sur le testeur. Ces étudiants sont ainsi sensibilisés au test industriel de circuits digitaux et mixtes. Enfin, au niveau doctorat (D), la formation reprend ces 2 modules digitaux et mixte et aborde des techniques de test avancées.
Formation initiale de niveau L Figure 3 : principaux composants d’un testeur industriel
La tête de test est actuellement équipée de cartes pour assurer l’alimentation des circuits, de carte d’E/S digitales, et cartes pour le test de circuits mixtes comme résumé dans le tableau I. TABLEAU 1. CONFIGURATION DU TESTEUR DU CRTC Board
Ressource Type
Qty
Channels / board
PS3600
Digital
1
32
3.6GSps / 64Mvec memory
PS800
Digital
1
32
800MSps / 64Mvec memory
AV8
MixedSignal
1
8
24bits / 200kSps for Audio 14bits / 65MSps for Video
MSDPS
Supply
2
8
-8V to 8V / 2A
Specifications
Dans l’industrie des semi-conducteurs, le test de production est un environnement de travail très spécifique qui requiert des techniciens spécifiquement formés pour manipuler à la fois un environnement robotisé (handler, prober) et le testeur afin de réaliser des vérifications automatiques de puces et de circuits encapsulés. Les techniciens ont un rôle clef car ils ont la charge de l’installation des équipements de test, du contrôle de la production, de la récupération de résultats de test et des premières actions correctives lors d’une perte soudaine de rendement. Afin de répondre à ce besoin industriel, le CRTC a développé un enseignement de test dédié aux étudiants de niveau L et inférieur. Il est basé sur
P1
AIME
l’étude de la datasheet d’un circuit. Bien que les étudiants utilisent quotidiennement des composants électroniques en se référant à leur datasheet, ils ne savent pas toujours comment cette dernière a été construite. A travers la manipulation d’un programme de test, et avec pour seuls pré-requis quelques bases en électronique, les étudiants redécouvrent le rôle de la datasheet d’un circuit et l’importance des paramètres temporels tels que les temps de setup, de hold et les temps de propagation. De façon plus générale, l‘objectif de cette formation est une exploration profonde de la datasheet d’un circuit digital de la famille TTL. Les étudiants sont aussi sensibilisés au test de production de masse grâce à une présentation sur l’industrialisation de circuits intégrés effectuée en introduction au module.
Formation initiale de niveaux M et D Les étudiants des niveaux M et D formés au test industriel, constituent un vivier de potentiels ingénieurs de test, ingénieurs produit et de responsables d’industrialisation. Deux modules leur sont proposés : une première formation au test industriel de circuits digitaux et une seconde relative au test de circuits mixtes. La formation digitale a pour but de sensibiliser les étudiants et les ingénieurs aux méthodes de test utilisées dans l’industrie pour vérifier le fonctionnement, les performances et les caractéristiques de circuits digitaux. Le circuit utilisé est un simple registre à décalage 8 bits pour lequel les étudiants vont créer un programme de test à partir de zéro. A la fin de la formation, les étudiants sont capables (i) d’utiliser un testeur digital pour vérifier un circuit, (ii) de bâtir un flot de test afin d’automatiser l’exécution des différents tests élémentaires, et (iii) de développer un programme de test qui sera exécuté dans un contexte de production. La formation est constituée de leçons et de travaux pratiques réalisés sur simulateur ou testeur. Le tableau 2 représente l’organisation typique d’une formation digitale de 4 jours. La formation aux circuits mixtes initie les étudiants au test industriel de circuits analogiques et mixtes.
TABLEAU 2 AGENDA TYPIQUE D’UNE FORMATION DIGITALE
Day
Program • •
1
Tester HW/SW overview Test program development: • Pin configuration, level, timing, Pattern • Continuity and Functional tests implementation • Test flow • •
Test execution and Result analysis: Datalogging Debugging tools
• • •
Characterization tests : AC tests: Vil/Vih, Vol/Voh, leakage DC tests: set up hold, propagation delay times Shmoo plots
• 2 • 3
Advanced test features: Global variables Pin Margin, Histogram Burst mode • Preparation to mixed-signal training: • Test methods
• 4
• • •
Ils sont d’abord formés aux concepts fondamentaux associés au test des circuits mixtes comme l’échantillonnage cohérent, le suréchantillonnage… L’utilisation des instruments de type DSP (Digital Signal Processing) pour implémenter les tests spécifiques aux circuits analogiques est également abordé. Ils réalisent ainsi des vérifications tels que la caractérisation de la linéarité, du gain, des offsets, de la distorsion… Ils apprennent à utiliser un testeur industriel pour développer les programmes de test de convertisseurs ADC et DAC afin d’en vérifier leurs performances et leurs spécifications. Cette formation dure en moyenne 4 jours et nécessite une bonne connaissance préalable du test de circuits digitaux.
Formation initiale au diagnostic de fautes dans un circuit Cette nouvelle formation est le résultat d’une volonté de mettre en œuvre des modules de test permettant de faire le lien avec l’enseignement de la conception en vue du test. Les objectifs de cette formation sont de sensibiliser les étudiants aux défauts qui peuvent affecter un circuit intégré digital, à la détection d’un dysfonctionnement dans un circuit et à l’identification de la panne (diagnostic). Le circuit utilisé étant toujours un circuit saint dans le cadre de la formation digitale classique, les seules pannes observables relèvent systématiquement d’erreur de programmation et ne sont pas très démonstratives.
P1
AIME
Dans ce module, nous utilisons un FPGA pour synthétiser un circuit pouvant être à tour de rôle saint ou fautif. Le circuit employé dans la formation digitale classique a fait l’objet d’une modélisation en VHDL au niveau structurel (de façon a conserver l’intégrité du schéma interne), à laquelle nous avons ajouté l’injection de fautes de type ‘collages’ et ‘retards’ sur différents nœuds internes. Les interrupteurs et boutons poussoir disponibles sur le kit de développement FPGA sont programmés pour procurer une petite interface de contrôle du type de panne à injecter en fonctionnement. La figure 4 montre la carte FPGA connectée au testeur via une carte d’interface « maison ».
Formation continue Le CRTC étant un 2ième centre de formation pour Verigy® depuis février 2008, les formations en test peuvent être aussi délivrées à des industriels. Elles nécessitent l’accord préalable de la société Verigy®. Elles sont réalisées en suivant la charte du constructeur et utilisent les supports de formation industriels. Le formateur CRTC est certifié Verigy® et dispose à ce jour d’une expérience acquise à travers une dizaine de formations industrielles. Sa compétence s’étend du test des circuits digitaux au test des mémoires et circuits-mixtes, ce qui permet de proposer un large choix de formations. Ces dernières sont soient réalisées suivant un agenda préalablement défini ou sur demande en fonction des disponibilités des ressources (matérielles et humaines).
Utilisation du testeur Le tableau 3 résume l’ensemble des formations de test organisées par le CRTC depuis Mai 2007. TABLEAU 3 : Bilan de 3 années de formations Niveau L M D Indus. Total Figure 4 : Carte FPGA utilisée pour le diagnostic de pannes
La formation a pour but de sensibiliser les étudiants à la détection de pannes en réutilisant le programme de test de la formation digitale et de les initier aux procédures de diagnostic permettant de deviner la localisation et le type de faute en présence. Pour cela, la mise en œuvre des outils de débogage du testeur est nécessaire. Cet exercice est proposé à tous les étudiants quel que soit leur niveau, par exemple sous la forme d’un jeu collectif où un étudiant va insérer une panne et laisse les autres tenter d’en découvrir la nature.
Formation Digital Digital Digital/MXS Digital/MXS Digital/MXS
# Session
3 18 16 6 45
#Participants locaux/distants 67/0 89/120 59/0 4/34 219/154
En plus des formations, le CRTC fournit du support à divers projets éducatifs et à la recherche à savoir : - Une collaboration avec l’Université de Strasbourg. Le projet pédagogique concerne la réalisation d’une chaîne instrumentale analogique pour le master 2 de Micro-Nano électronique. Le CRTC a la charge de l’étude de la testabilité du bloc de logique de contrôle et du développement du programme de test du circuit complet. L’étude de testabilité a révélé que le bloc logique n’était pas 100% testable et il a fallu insérer une chaîne de scan pour obtenir un taux de couverture de fautes maximum. Un ATPG (Automatic Test Pattern Generator) a permis de générer une séquence de vecteurs de test pour vérifier le bloc. Ces vecteurs ont été convertis au format accepté par le testeur. Le développement du programme de test du circuit complet a été réalisé conjointement par le CTRC et l’Université
P1
AIME
de Strasbourg. La validation s’est faite à Montpellier en 2 jours. Grâce à cette collaboration, le CRTC dispose d’un nouveau support pédagogique. - Une collaboration avec la société Verigy® et le LIRMM dans le cadre d’un support à un projet de recherche relatif au test de circuits RF en utilisant des E/S digitales ultra-rapides et des algorithmes spécifiques. - Une collaboration avec le LIRMM dans le cadre d’un support à un projet de recherche concernant l’étude de la sensibilité d’un circuit digital aux variations de tension d’alimentation.
Références [1]
See: www.cnfm.fr
[2]
See: www.lirmm.fr
[3]
Y. Bertrand, F. Azaïs and R. Lorival, " Test Facilities with Distributed Remote Access for Initial and Continuing Education", Proceedings of the SEMICON Singapore 99 Conference, Singapore, May 4-6, 1999, pp. 65-70.
[4]
Xiaolin Lu, “Construct Collaborative Distance Learning Environment with VNC Technology”, Semantics, Knowledge and Grid, 27-29 Nov. 2005, pp.:127-130.
[5]
Latorre L., Pradarelli B., Nouet P., "Integrated Circuits Testing: Remote Access to Test Equipment for Labs and Engineering", International Journal of Online Engineering (iJOE), Vol. 5, 2009, Special Issue REV2009, pp. 43-50, ISSN: 1861-2121.
[6]
B. Pradarelli, L. Latorre, M.-L. Flottes,Y. Bertrand, P. Nouet, “Remote Labs for Industrial IC Testing”, IEEE Transactions on Learning Technologies, Vol. 2 , pp. 304 – 311, 2009, DOI: 10.1109/TLT.2009.46.
[7]
B. Pradarelli, L. Latorre, P. Nouet, “Remote Access to Test Equipment: Solution for Industrial Test Trainings and Engineering Support”, DATE09 Conference, Nice, France, 21-23 April 2009
[8]
B. Pradarelli, L. Latorre, P. Nouet, “Industrial Testing Education at Undergraduate Level: A Datasheet and th Diagnosis Labs based Approach”, Proccedings of 8 EWME2010, Darmstadt, Germany, 10-12 May 2010.
Communication Chaque année, le CRTC fait la promotion de la diversité de ces formations uniques dans le domaine du test, en participant à des congrès et conférences sur l’enseignement de la Micro et Nano électronique ainsi que sur la formation à distance [5, 6, 7, 8].
Conclusion Depuis fin 2006, le CRTC, Centre de Ressources en Test du pôle CNFM de Montpellier, dispose d’un testeur industriel à la pointe de la technologie. Ce testeur est équipé de 64 canaux digitaux fonctionnant jusqu’à une fréquence de 3.6Msps et de 8 canaux analogiques dont les spécifications permettent de tester des circuits conçus pour des applications audio et vidéo. Le CRTC utilise et met à disposition des autres universités son environnement de test à des fins pédagogiques et d’ingénierie. Les étudiants de DUT, niveaux L, M, D, les enseignants ainsi que les industriels (sous réserve d’accord de Verigy pour ces derniers) peuvent être formés à Montpellier au test de circuits digitaux, mixtes et des mémoires. Ces formations peuvent être aussi réalisées depuis un site distant avec un formateur à Montpellier ou sur site grâce à l’installation d’un client VNC. Un effort particulier a été produit ces dernières années pour attirer un public nouveau, au niveau L et DUT, à travers de nombreuses actions de communication, et le développement de modules de formations très appliqués (voire ludiques), orientés vers la compréhension des mécanismes associés à la création d’une datasheet et au diagnostic.
Remerciements Les auteurs souhaitent remercier le GIP-CNFM, UM2 et la Région Languedoc-Roussillon pour leur soutien et contribution financière.
Auteurs B. Pradarelli est responsable du support technique et pédagogique du Centre de Ressources en Test, CRTC du Pôle CNFM de Montpellier, France. (email:
[email protected]). L. Latorre est responsable du CRTC et est Maître de Conférences à l’Université Montpellier 2. Il effectue ses travaux de recherches au LIRMM, Montpellier, France. (email:
[email protected]). P. Nouet est responsable du pôle CNFM de Montpellier et Professeur à l’Université Montpellier 2. Il effectue ses travaux de recherches au LIRMM, Montpellier, France (email:
[email protected]).
L. Lorival assure le support matériel et logiciel du pôle CNFM de Montpellier. Il est CNRS mais détaché au LIRMM où il travail en tant qu’ingénieur de recherche, Montpellier, France (email:
[email protected]).
P1
AIME
Enseigner la conception de circuits CMOS en utilisant les technologies nanométriques avec Microwind
Etienne SICARD, Sonia BEN DHIA INSA/DGEI - Université de Toulouse 31077 Toulouse - France
[email protected] [email protected] http://www.microwind.org
Syed Mahfuzul Aziz School of Electrical & Information Engineering University of South Australia Mawson Lakes, SA 5095, Australia e-mail:
[email protected]
Résumé—Cet article décrit une expérience de pédagogie active appliquée à l’enseignement des bases
de la conception de circuits intégrés au niveau des masques en utilisant principalement l’outil Microwind. L'évolution de l'outil dans le contexte des technologies nanométriques est aussi décrite. Une évaluation de l’enseignement réalisée dans trois établissements (INSA France, EMSE Gardanne, UniSA Australie) montre des niveaux élevés de satisfaction des étudiants. Lors des journées, une démonstration de l’outil Microwind sera proposée, avec la mise à disposition de la documentation pédagogique associée. Mots clé: Conception de circuits intégrés, conception VLSI, technologies nanomètriques, pédagogie active, formation continue
I. Introduction Les dernières années ont vu l'introduction des technologies de l'échelle nanométrique pour la production industrielle de circuits intégrés de hautes performances, ciblés sur des produits tels que les objets communicants, les ordinateurs portables ou encore l’électronique embarquée. Chaque génération technologique se traduit par des améliorations en termes de vitesse de commutation des fonctions élémentaires, du transport de l’information, et de la réduction de la consommation. Si les progrès de la technologie de circuits intégrés ont principalement servi le secteur industriel dans les années 80, on a assisté (Fig.1) à partir de 1995 à une évolution des applications de l’électronique vers les individus (PC, Internet, GSM, écrans plats, objets communicants 3G). Selon [1], les grands secteurs des 15 prochaines années seront orientés vers la société (Environnement, sécurité, médical, énergie..) avec un potentiel de croissance de l’électronique en général et de l’industrie du semiconducteur en particulier. Nous vivons en Europe, et notamment en France une profonde mutation de la « microélectronique ». L’évolution vers les technologies nanométriques s’est accompagnée d’un mouvement global de délocalisation de certains domaines d’ingénierie, d’une diminution des offres d’emploi des grands groupes (STM, Infineon, Atmel, NXP, Freescale), et d’une réduction de l’attractivité du domaine pour les jeunes ingénieurs. A l’opposée, l’attractivité des formations en Asie du Sud est, en Inde, au Maghreb et en Amérique du Sud pour la conception de circuits nanométriques ne cesse de croître. En Europe, les formations très ciblées « microélectronique » ont progressivement migré vers « électronique », voire « systèmes embarqués », avec un contenu de plus en plus généraliste, avec une tendance à diminuer les heures de cours scientifiques, augmenter les contenus en sciences humaines, apprendre aux étudiants à apprendre [2] et favoriser les co-diplomation à spectre large : commerce, administration, international, système, innovation... Nos étudiants ingénieurs se positionnent comme des apprenants à vie, devant s'adapter aux changements technologiques, aux évolutions rapides des concepts, des méthodologies. La résolution de problèmes, l’esprit critique, la recherche d’information, le travail en équipe sont autant de clés pour exploiter avec succès les potentialités d’un domaine en constante évolution tel que celui de la conception de circuits nanométriques. Notre rôle traditionnel d'éducateur consiste à s'assurer que les activités d'apprentissages facilitent le développement de connaissances pertinentes pour l’industrie. Le défi est de conduire de manière attractive et stimulante ces enseignements, dans un volume horaire faible, avec des contraintes de coûts sévères, et une banalisation des supports (salle de TP informatique typiquement).
P14
AIME
Croissance
Individus
30% Industries
PC Internet GSM
PC Audio CD Defense
20%
Société
MP3 DVD Ecrans plats Automobile 3G
Environement Securité Transports Médical Energie
10%
0
-10% Source: Electronique Int. Avr. 09
83
86
89
Crash banquaire
Crash des télécoms
92
95
98
01
04
07
10
13
16
Fig. 1 : Evolution du secteur électronique mondial Dans ce contexte, est-il toujours nécessaire de dédier des heures à enseigner la conception de circuits intégrés au niveau physique ? Avec une tendance lourde de réduction d’heures, l’intérêt des outils industriels, très puissants, mais toujours plus complexes, semble peu évident. Nous avons donc cherché à accompagner les étudiants dans une démarche de développement des compétences pratiques de base, mais aussi de compétences d'apprentissage, les préparant à aborder au cours de leurs stages pratiques ou leurs premières expériences professionnelles des technologies et processus de conception très complexes. Nous présentons ici les approches par projet conduites en Australie et en France utilisant des outils pédagogiques dédiés (Microwind [3]), visant à faire participer les étudiants (ingénieurs, master universitaire) à des expériences stimulantes d'apprentissage pour le développement de compétences en conception de circuits intégrés CMOS, sur la base des technologies les plus récentes, mais aussi de développement de capacités d'apprentissage au long cours.
II. Notre expérience pédagogique Nous nous basons sur un outil pédagogique permettant d’illustrer de manière intuitive et efficace les technologiques CMOS nanométriques du point de vue structurel, technologique et fonctionnel. Microwind utilise des écrans de visualisations 2D/3D, de courbes I/V des dispositifs, et surtout, une simulation analogique performante donnant une possibilité de lier les aspects physiques (dessin des masques) aux aspects fonctionnels (chronogrammes, consommation..). Au niveau pré requis, nos étudiants ont des connaissances de base en conception logique numérique et circuits fondamentaux de l'électronique. Notre cours est le premier à introduire les transistors MOS et leur assemblage en vue de la conception de portes logiques et fonctions analogiques. Autant à l’INSA France qu’à UNISA Australie, nos étudiants ont des formations diverses: électricité, électronique, informatique, voire télécommunications, avec des niveaux de connaissances et approches assez disparates. La forte internationalisation de nos établissement se traduit aussi par une proportion élevée d’étudiants d’échange (jusqu’à 50% en 4ème année à l’INSA) qui augmente encore l’inhomogénéité en termes de pré requis et de niveau de connaissance. Notre approche vise à donner aux étudiants une confiance immédiate dans leur capacité à concevoir des dispositifs à base de transistors MOS. Au travers de mini-problèmes, nous souhaitons illustrer les relations les plus importantes entre l'implantation et la performance électrique du transistor. Pour cela, les étudiants sont invités à dessiner un transistor MOS, effectuer une coupe 2D, des vues 3D, commenter les aspects matériaux, canaux, isolants, conducteurs, et répondre à des questions sur un mode d’apprentissage par problème. Les élèves agissent sur le point de fonctionnement et les vues disponibles pour caractériser les grandeurs VT, ION, IOFF de leur dispositif.
P14
AIME
Fig. 2 Apprentissage par problème et exemple d’écran sous Microwind permettant d’extraire les paramètres demandés Un exemple est donnée Fig.2 concernant un MOS canal N. Microwind intègre un modèle BSIM4 simplifié [4], l'ensemble des paramètres accessibles à l'utilisateur étant réduits à environ 30 paramètres, dont seulement 5 rentrent dans la sphère usuelles des questions/ réponses. Les élèves utilisent un ensemble de propriétés de simulation (horloges, sources de tension) pour construire un scénario de test afin de valider le concept d'interrupteur. Après quelques itérations de dessin, et suite aux réponses données aux nombreuses questions, les élèves développent une capacité à recueillir des informations principales, dégager les propriétés essentielles des circuits et commencent à percevoir les « bons » et « mauvais » designs, les avantages et inconvénients des techniques de conception. Nous profitions aussi des échanges pour donner quelques bases de règles de dessin et le lien avec les contraintes technologiques, particulièrement exacerbées en CMOS nanométrique [4]. Les élèves sont ensuite invités à construire le dispositif PMOS et observer le comportement complémentaire. Les problèmes posés concernent ensuite les oscillateurs, avec un concours de l’oscillation la plus rapide, puis différentes portes logiques et fonctions analogiques élémentaires.
III. Les projets Une fois la base de la conception acquise, nous proposons aux étudiants des mini-projets (4 x 3 H00 à l’INSA, 10 x 3 H 00 à UNISA) avec l'objectif de faire vivre aux élèves une expérience stimulante en développement et validation de fonctions intégrées. Dans cette approche par projet les élèves sont en petits groupes (2-4 en général) pour des projets à choisir soit dans une liste (Fig. 3) soit sur une idée propre. Nous tentons de donner un support pédagogique adapté (ouvrages [5][6], projets étudiants des années passées en ligne [7]) leur permettant de franchir les premières étapes en autoapprentissage. Les encadrants sont toutefois sollicités en support aux outils, mais aussi en interventions de restructuration, suite aux questions des étudiants. Des tâches de plus en plus complexes sont progressivement proposées, selon la dynamique du groupe et l’investissement des étudiants. Les étudiants qui possèdent un bon niveau de connaissances techniques peuvent prendre en charge des projets assez élaborés. Nous encourageons les projets à risque, originaux, les étudiants ayant alors la satisfaction d'avoir conçu un circuit innovant et non une simple adaptation de circuits existants. On note aussi des groupes préférant une démarche de capitalisation pas à pas de savoir faire, que nous encourageons tout autant.
P14
AIME
Fig. 3 : Exemple de projets d’un jour et réalisation d’étudiant répondant au cahier des charges
IV. Evaluation Nous distinguons deux types d’évaluation : celle faite par les enseignants, et celle faite par les étudiants. Pour la première, les critères d’évaluation sont fournis en début de séance, et concernent la qualité du rapport, les résultats obtenus et l’originalité du sujet. Les projets les mieux notés sont placés sur le web [8]. Concernant l’évaluation faite par les étudiants, de manière anonyme, elle permet de mesurer si le cours a permis un véritable apprentissage autonome, une compréhension des concepts et des principes de conception CMOS, et d’avoir des indications sur l’indice de satisfaction global. Autant à UNISA qu’à l’INSA, le cours atteint des niveaux de satisfaction élevés (plus de détails dans [9]). Le retour des étudiants confirme un véritable développement de compétences en conception, en travail en groupe, en auto-apprentissage, plutôt qu’une seule maîtrise des outils de conception. Les concepts de base du fonctionnement des transistors, leur assemblage, les règles de base, les compromis liés à l’implantation physique et les processus d’optimisation sont en grande majorité compris et correctement appliqués. Un ensemble de notes d’applications traitant des nœuds technologiques (90, 65,45, 32 nm) [10] a été rédigé à destination des formateurs, de même que des notes plus spécifiques sur des thématiques telles que : la variabilité de process [11] ou le test logique [12]. Le logiciel et les ressources de cours basés sur des projets ont aussi aidé de nombreux collègues (Inde, USA, Espagne, France principalement) à élaborer un programme éducatif stimulant, en réservant une large place à l’autonomie, l’esprit critique et en favorisant la recherche de solutions innovantes.
V. Références [1] [2]
Electronique International, Avril 2009. B. Raucent, C. Vander Borght “Etre Enseignant, magister? Metteur en scène”, De Boeck, Belgique, Pédagogies en développement, 2006, ISBN 2-8041-5047-X [3] E. Sicard, Microwind & Dsch User's Manual, Version 3.5, June 2009. Online at www.microwind.org, ISBN 978-2-87649-057-4, 130 pages [4] B. Wong , A. Mittal, Y. Cao, G. W. Starr, "Nano-CMOS Circuit and Physical Design" Wiley, 2005 [5] B. Wong "Nano-CMOS Design for Manufacturabililty: Robust Circuit and Physical Design for Sub-65 nm Technology Nodes", Wiley, 2008 [6] E. Sicard and S. Ben Dhia “Basic CMOS Cell Design” McGraw Hill professional series, 2006. [7] E. Sicard and S. Ben Dhia “Advanced CMOS Cell Design” McGraw-Hill professional series, 2007. [8] Projets étudiants sur www.microwind.org/students [9] S. M. Aziz, E. Sicard, S. Ben Dhia, "Effective Teaching in Physical Design of Integrated Circuits using Educational Tools", IEEE Trans on Education, 2010 [10] E. Sicard, M. Aziz, “Introducing 32 nm technology in Microwind35”, application note on-line www.microwind.org [11] E Sicard “Introducing Process Variation in Microwind35”, application note on-line www.microwind.org [12] E. Sicard, B. Hamdi, “Introducing Fault Testing in Dsch version 3.5”, application note on-line www.microwind.org
P14
AIME
Une approche éducative de la compatibilité électromagnétique des circuits intégrés Etienne SICARD, Alexandre BOYER INSA/DGEI - Université de Toulouse 31077 Toulouse - France
[email protected] [email protected] http://ww.ic-emc.org Résumé— Cet article présente une expérience originale d’enseignement pratique de la compatibilité électromagnétique (CEM) au niveau des circuits intégrés. Après avoir posé le contexte spécifique de la CEM au niveau composant, nous donnons un aperçu des normes en vigueur, et détaillons les challenges en matière d’émission parasite et susceptibilité aux ondes radiofréquences. Nous décrivons la structure du cours proposé, ainsi que les outils et des travaux pratiques associés. L’expérience d’enseignement porte sur une période de 10 ans, en entreprises, en formation initiale et en formation continue. Nous évaluons l’impact du cours et des approches pratiques, démontrant l’intérêt de ce type de formation et d’approche. Mots clé: CEM, circuits intégrés, émission parasite, susceptibilité aux agressions radiofréquences, IEC, IBIS, ICEM
I. Introduction La compatibilité électromagnétique (CEM) des composants semble une préoccupation récente, en comparaison avec la CEM des systèmes et des équipements. Pourtant, les ancêtres de nos simulateurs de circuits électroniques tels que SPICE trouvent leur origine dans la prédiction de l’effet des radiations nucléaires sur les composants [1], avec dès 1965 des corrélations entre les simulations et les mesures expérimentales. La déclinaison des contraintes du niveau système vers le niveau composant (Fig. 1) est donc une préoccupation ancienne. Les bus et réseaux d’interconnexions du système jouent un rôle d'antenne émettrice ou réceptrice, les circuits intégrés actifs jouent le rôle de source mais aussi de victime. La CEM est donc par nature multi-niveaux et multi-échelles. Le numéro spécial de la revue IEEE EMC [2] en 1979 peut être vu comme le point de départ d’une thématique scientifique adressant successivement les problèmes d’immunité, d’émission, puis de modélisation de la CEM des composants. Les premières grandes synthèses et les premiers ouvrages intégrant une partie sur ce sujet sont publiés dans les années 1990, mais il faut attendre la publication de standards dédiés à la mesure CEM composants sous l’égide de l’IEC [3][4] en 1995, la naissance de la conférence EMC Compo [5] en 2000 pour parler d’une véritable reconnaissance de cette activité au niveau mondial. Perturbations globales
Agressions globales
Agressions internes
CEM équipements
Bruit du composant conduit dans les alimentations et bus
Parasitage du composant
CEM systèmes
Agressions locales
Couplage système (câbles, alimentations)
Perturbations locales
Perturbations internes CEM composants
Rayonnement du composant
Figure 1 : La CEM composants est une déclinaison des contraintes CEM système au niveau des composants dans les équipements. Le composant est à la fois susceptible aux interférences conduits, rayonnées, mais aussi une source de bruit
P20
AIME
L’enseignement de CEM au niveau systèmes a démarré il y de nombreuses décennies, un exposé de la structure d’un cours orienté résolution de problèmes étant par exemple publié par M. Morris en 1969 [6]. De nombreux cours de CEM sur la base d’ouvrages de références tels que ceux de C. Paul [7] existent dans la plupart des formations de niveau ingénieur électronique. A l’opposée des contenus souvent très théoriques et difficilement applicable à la résolution de problèmes pratiques, on citera le remarquable travail de vulgarisation et de pédagogie de A. Charoy [8] aux éditions Dunod. Cependant, dans la plupart des ouvrages, la part dédiée aux composants est souvent restreinte, quand elle n’est pas nulle, à l’exception de la synthèse publiée en 2006 [9] focalisée sur l’état des connaissances en CEM des circuits intégrés. Un état de l’art du domaine a été publié dans [17] en 2009, 30 ans après le numéro visionnaire de la revue IEEE EMC précité [2].
II. La conception orientée CEM La demande en formation spécifique CEM composants est née dans les années 1995, avec l’avènement des normes « CE », et le constat d’une grande dispersion de comportement CEM entre composants issus de fondeurs différents, en appliquant les mêmes méthodes de mesures normalisées [3,4]. Sans doute, les concepteurs de circuits intégrés Japonais ont occupé une place de leader sur les aspects de faible émission, en particulier pour les applications automobiles. Les autres pays ont alors cherché à rattraper leur retard dans les années 2000, notamment en Europe avec une succession de projets de recherche coopérative. On note aussi Plus récemment, l’ingénierie de la téléphonie mobile s’est aussi heurtée au problème de CEM composants, dans sa quête vers plus de miniaturisation et de cohabitation entre systèmes multifréquence hétérogènes. La demande récurrente des ingénieurs de conception de systèmes intégrés est de disposer d’outils, de méthodes et de standards permettant une évaluation prédictive des performances du composant durant les itérations de design. Du côté utilisateurs de composants, la demande est aussi de plus en plus forte pour la mise à disposition de modèles permettant de prédire le comportement CEM au niveau sous-système électronique, dont l’une des clés est le modèle CEM au niveau composants. Les deux mondes étant assez cloisonnés, et les informations technologiques au niveau composant étant considérées généralement comme sensible et confidentielles, seuls des standards dans l’esprit de la norme IBIS [10] peuvent garantir une remontée fluide et fiable des informations composants vers les outils de simulation système.
Conception incluant la CEM
Conception usuelle Specification Micro-contrôleur
Specification Micro-contrôleur
Conception d’architecture
Côut important Délai important
Règles CEM
Conception d’architecture Conception physique
Expert CEM
Expertise amont
Conception physique
Côut et délais réduits
Outils et modèles dédiés
Simulation émission parasite
Fabrication
Fabrication
Mesure CEM Compliance ?
dB
Non
Oui
dB f
f (a)
Mesure CEM Conformité?
Oui Envoi tardif au client
(b) Envoi au client dans les temps
Figure 2 : Les problèmes CEM détectés en mesure (a) induisent d’importants délais et surcouts, tandis que ceux traits dans les cycles de conception diminuent les risques de non-conformité (b)
P20
AIME
A la nature pluridisciplinaire de la CEM composants se rajoute le formidable grand-écart entre la complexité inhérente aux composants (jusqu’à 1 milliard de transistors sur puce, autant de sources de courant, R,L et C, l’équivalent de 10 Km d’interconnexion) et les besoins en simplifications extrêmes des équipementiers (une source de bruit, une impédance). La CEM composants, comme toute démarche de conception, requiert des modèles qui doivent rester simples tout en fournissant des prédictions de niveaux d’émission et immunité précis en un temps de simulation rasisonnable. Le domaine apparaît à beaucoup de personne comme une nébuleuse complexe, d’où émergent quelques gourous intervenant souvent dans des cycles d’urgence critique, avec la crainte d’itérations de design supplémentaires, et par voie de conséquence des délais et surcoûts associés. Une demande s’est donc fait sentir ces dernières années pour une prise en compte rationnelle en amont des contraintes liées à la CEM, avec des outils, des méthodologies, des guides et des formations associées (Fig. 2). L’enseignement spécifique de la CEM composants a démarré sous forme de séminaires spécialisés dans les entreprises, puis de cours intégrés dans le cadre de formations initiales, en général au niveau BAC+5. Les outils spécifiquement adaptés à une pédagogie efficace n’existaient pas jusqu’à une période récente, même si de nombreux logiciels de référence en conception électronique et radiofréquence ont pu servir de support à l’illustration des concepts vus en cours : SPICE pour l’intégrité de signal et les bruits de commutation, HFSS pour la résolution 3D des effets de rayonnement électromagnétique et de couplage, ou encore MATLAB pour les transformations temps/fréquence, conversions d’échelles et d’unités, etc..
III. IC-EMC Le besoin de développement d’un logiciel dédié au domaine de le CEM composants est né d’une demande récurrent de plateforme non confidentielle de prédiction des performances en émission et susceptibilité des circuits intégrés, utilisant des ressources minimales banalisées (ordinateur standard, simulateur SPICE), reposant et étayant les normes récentes du domaine, autant en méthodes de mesures [3,4], approches de modélisation [11] et que les standards de descriptions de données composants [10].
Emission parasite
Simulation Spice paramétrique
Simulation de susceptibilité
Analyse champ proche
Simulation Spice paramétrique
Chronogrammes de simulation Simulation d’impédance
Package
Impédance
Paramètres [s] Emission parasite
IC-EMC
Exploitation des fichiers IBIS, XML
Susceptibilité
Champ proche
Figure 3 : Outils principaux de IC-EMC
P20
AIME
L’idée d’IC-EMC était aussi de démontrer par des itérations courtes de conception/simulation l’efficacité des principales règles de conception CEM telles que le découplage, le filtrage, la réduction des boucles de courant, le blindage, la réduction des pics de consommation, etc.. Le logiciel devait simplifier les étapes de pré et post-processing afin d’aller à l’essentiel : comparaison de spectres d’émission, comparaison de niveaux d’immunité, comparaisons mesures/simulations de provenances hétérogènes. Le logiciel IC-EMC [12] repose sur un outil de schéma électrique conventionnel et d’une interface avec le simulateur WinSpice [13], dérivé du simulateur Spice version 3f5 de l’université de Berkeley. Les principaux outils disponibles dans le logiciel sont : le traitement des fichiers de simulation analogique en vue d’une représentation dBµV/fréquence, la caractérisation d’impédance dans le domaine fréquentiel, la simulation de l’immunité par le calcul des puissances incidentes, transmises, réfléchies, et le rayonnement champ proche à l’aide d’un solveur associé (Figure 3). Une documentation importante avec plusieurs cas d’études complets (modèles et mesures) accompagne chaque outil.
IV.
Démarche pédagogique
Nous avons mise en place une formation spécifique CEM Composants sous forme d’un séminaire sur un ou deux jours. Le contenu, en ligne sur [14], est le suivant : concepts de base, méthodes de mesure, modèles CEM composants, règles de conception, challenges futurs. La formule sur 2 jours comprend une partie pratique l’après-midi à l’aide de l’outil IC-EMC. Le logiciel est aussi utilisé par l'enseignant pour l’illustration des concepts de base abordés dans la partie cours. Un ensemble d'outils à forte valeur ajoutée pour l'analyse EMC est utilisé au cours de la formation: • Une fenêtre de conversion linéaire/dB, dans les différentes unités rencontrées en CEM composants : dBµV, dBm. • Une fenêtre de calcul des résonnances LC, exploitant les différentes valeurs d’inductances et capacités mises en œuvre dans le schéma électrique. • Une estimation du champ électrique et magnétique proche du composant, sur la base de la simulation du rayonnement de dipôles élémentaires. Les élèves peuvent illustrer l’annulation des champs, ou encore la réduction des effets de boucle. • Une bibliothèque de modèles calqués sur les méthodes de mesures standardisées telles que la la cellule TEM (Fig. 5), la méthode 1 / 150 Ω, l’immunité de type DPI, l’immunité de type BCI. • Un outil de modélisation R,L,C package sur la base de la description IBIS et des données géométriques du composant.
(a) (b) Figure 4 : Exemple de comparaisons mesures/simulation par construction d’un modèle adapté dans ICEMC : (a) réseau d’impédance interne, (b) émission conduite
P20
AIME
dBµV
Simulation envelop
Measurement
Figure 5 : Modèle de rayonnement en cellule TEM (a) et comparaisons mesures/simulation correspondantes (b)
(a) (b) Figure 6 : Simulation (a) et mesure (b) du champ magnétique proche d’un circuit intégré
P20
AIME
L’outil a été doté d’une capacité de prédiction du champ magnétique et électrique proche, permettant de reproduire les cartographies de champ mesurées par scanner à l’aide de sondes dédiées (Figure 6). Le même modèle du composant est utilisé pour les prédictions de champ conduit, rayonné en TEM et en scan, ce qui constitue une plus-value considérable. En cours, des cas simples de rayonnement à base de dipôles sont abordés, et des illustrations de cas d’études tels celui de la figure 6 sont aussi proposées.
V. Evaluation Depuis le démarrage des formations en 2002, plus de 20 sessions auprès de 300 étudiants et ingénieurs ont été organisées, sur une ou deux journées. L'évaluation de l'impact de la formation a été faite sous forme de questions générales, plus de détails étant fournis dans [15]. Les résultats de l'évaluation ont à la fois porté sur la formation initiale dans les départements d'ingénierie (ISEN, ENSME en France) et dans les entreprises (Nokia, On-Semi). A la fin de ce cours, la majorité des étudiants déclarait avoir compris l’origine et les mécanismes principaux d'émission parasite et de susceptibilité. La plupart des auditeurs avait développé une réelle confiance dans leur capacité à manipuler les standards de mesure d'émission et de susceptibilité, et pensait qu’ils pourraient prendre part à une stratégie globale d'amélioration de la CEM, en se déclarant prêts à appliquer les règles de conception proposées. La grande majorité des étudiants évalués a aussi considéré que le sujet avait un lien clair avec leurs cursus, tandis que la grande majorité des ingénieurs formés au sein des entreprises ont trouvé la formation rapidement applicable à leurs projets électroniques. Le logiciel ICEMC a aussi été utilisé avec succès dans le cadre de tutoriaux de conférences internationales telles que [16].
VI. Conclusion Cet article a décrit un cours focalisé sur la compatibilité électromagnétique des circuits intégrés, qui a été conduit avec succès dans les écoles d'ingénieurs ainsi que dans l'industrie, pour répondre à la demande de compréhension des problèmes d'interférences au niveau des composants. Le cours tente de donner des moyens pratiques d'amélioration de la conception et de l’utilisation des composants en termes de réduction de bruit et d’augmentation de l’immunité aux interférences. Un outil pédagogique développé en support à cette démarche pédagogique a aussi été présenté, qui a prouvé son utilité en illustration des enseignements et en support aux tutoriaux.
Références [1] S. R. Sidore “Automated Digital Computer Program for Determining Responses of Electronic Circuits to Transient Nuclear Radiation (SCEPTRE)”, AFWL TR 66-126, Air Force Weapons Laboratory, February 1967. [2] IEEE Transaction on EMC – Special Issue EMC of ICs, 1979 available online through IEEE Xplore [3] IEC 62132: “Integrated Circuits, Measurement of Electromagnetic Immunity – 150 KHz to 1 GHz”, www.iec.ch [4] IEC 61967: “Integrated Circuits, Measurement of Electromagnetic Emission – 150 KHz to 1 GHz”, www.iec.ch [5] www.emccompo.org [6] Morris, Melvin M.; , "A New Look at the EMC Problem," Electromagnetic Compatibility, IEEE [7] C. R. Paul “Electromagnetics for Engineers”, Wiley, NJ, 2004 [8] A. Charoy, “CEM - Parasites et perturbations des électroniques”, Dunod, 4 ouvrages, 2005-2007 [9] S. Ben Dhia, M. Ramdani, E. Sicard, "EMC of integrated circuits”, Springer, 2006, ISBN: 0-387-26600-3 [10] Informations sur IBIS http://www.eigroup.org/ibis [11] IEC 62433, “Models of Integrated Circuits for EMI behavioral simulation”, www.iec.ch [12] E. Sicard, A. Boyer "IC-EMC User's manual version 2.0", INSA editor, July 2009, ISBN 978-2-87649-056-7, www.ic-emc.org. [13] Logiciel shareware sur www.winspice.com [14] Cours en ligne sur www.ic-emc.org [15] E. Sicard, A. Boyer, "An Educationnal Approach EMC of ICs", proceedings of EWME 2010 Darmstadt, May 2010 [16] A. Boyer, S. Ben Dhia, B. Li, M. Deobarro « An Introduction to the modeling and simulation of EMC of ICs », AP-EMC 2010 Beijing, April 12th, 2010 [17] M. Ramdani, E. Sicard, A. Boyer, S. Ben Dhia, J. J. Whalen, T. Hubing, M. Coenen, O. Wada, "The Electromagnetic Compatibility of Integrated Circuits - Past, Present and Future", IEEE Transaction on EMC, Vol. 51, N°1, pp 78-100, February 2009
P20
PLFM
Etude, réalisation et caractérisation de résonateurs à ondes élastiques de surface - Application à un capteur de température et de pression Ali Soltani1, Abdelkrim Talbi2, Jean-Claude Tricot2, H. Happy1 1 2
IEEA, USTL Cité scientifique 59650 Villeneuve d’Ascq Département EEA, Ecole Centrale de Lille, Cité scientifique 59650 Villeneuve d’Ascq
Introduction Les capteurs utilisant la technologie des ondes élastiques de surface sont en pleine expansion depuis plus d’une décennie et sont utilisés dans de nombreuses applications domestiques, médicales, industrielles ou militaires. Ces capteurs permettent la mesure de différentes grandeurs physiques pression, température, vitesse, débit etc... [1]. Ces derniers sont également utilisé pour la détection des éléments chimiques dans ces milieux liquides où gazeux. Ces composants passifs peuvent être intégrés sans l’ajout d’éléments dans des plateformes d’instrumentation interrogeable sans fil nécessaire dans bons nombres d’applications. Ce capteur se présente sous la forme d’un résonateur mécanique à ondes de surface (désigné par l’abréviation SAW pour Surface Acoustic Wave). Ces résonateurs sont réalisés à partir d’électrodes métalliques interdigitées en surface d’un matériau piézoélectrique dont le rôle est de convertir un signal électrique en vibration mécanique. La fréquence de résonance du composant est déterminée par les propriétés élastiques du matériau et le design du transducteur. L’étude pratique se déroule en 3 étapes : Suite à une brève présentation de la cristallographie des matériaux, de la nature des ondes mécaniques, de leur propagation et leur génération, les capteurs sont ensuite réalisés en centrale de technologie. Deux matériaux piézoélectriques sont utilisés à titre comparatif : le quartz et le niobate de lithium (LiNbO3). Un accent est porté sur l’effet de l’anisotropie cristalline des matériaux sur la propagation des modes de Rayleigh via les performances du résonateur. Les paramètres à étudier sont la fréquence de résonance, le couplage électromécanique, le coefficient de fréquence en température et en pression. I. Généralités sur les ondes élastiques de surface Les ondes élastiques se propagent dans tout milieu matériel : fluide, solide homogène ou inhomogène, isotrope ou anisotrope. L’atténuation des ondes est d’autant plus marquée que le milieu de propagation est désordonné. Ainsi, un solide amorphe ou polycristallin donne lieu à des pertes plus élevées que dans le cas d’un monocristal. Les ondes d’intérêt dans ce travail sont des ondes élastiques guidées lesquelles sont confinées dans un espace parfaitement défini (en surface ou en volume) de façon à en minimiser les pertes et à cibler une ou plusieurs bandes spectrales désirées. Ce concept a été largement utilisé dans l’industrie des télécommunications pour réaliser des lignes à retard disposées dans les chaînes d’émission-réception des systèmes de transmission d’images en analogique et entre autres, comme filtre dans les chaînes d’émission-réception en téléphonie mobile. Ces dispositifs utilisent des matériaux qui permettent la conversion d’une onde électrique à une onde élastique et vice-versa. Cette double fonction est obtenue à partir de matériaux piézoélectriques en cristal ou en couche mince à moindre coût comme le quartz, le niobate de lithium ou l’oxyde de zinc. Chacun de ces matériaux présentent une cristallographie donnée caractérisée par leur anisotropie laquelle va définir la génération ou non d’une onde élastique.
P22
PLFM
Il existe 4 principaux types d’ondes élastiques : les ondes dites longitudinales dont le déplacement est parallèle à la direction de propagation de l’énergie (Poynting) ; les ondes transverses (de volume ou de surface) dont le déplacement est perpendiculaire au vecteur de Poynting ; les ondes de Rayleigh (en surface) composées de deux composantes de déplacement (une transversale et l’autre longitudinale) et les ondes dites de Love lesquelles se composent d’une onde transverse confinée dans une couche dont l’épaisseur est sublongueur d’onde et de vitesse de propagation inférieure à celle du substrat. D’autre part, en raison de l’anisotropie des certains cristaux, certaines directions sont plus favorables que d’autres à la propagation d’un type d’onde. Par exemple, la coupe ST du quartz (cf. figure 1a-b) (ϕ=0, θ=132°) suivant la direction de propagation ψ (figure 1b) est favorable à la génération du mode de Rayleigh.
(a) (b) Figure 1 : (a) Représentation du cristal de quartz suivant l’axe xyz et (b) représentation d’une coupe cristallographique suivant les angles d’Euler.
II. Equation fondamentale de la dynamique Les équations qui régissent la propagation des ondes élastiques dans les solides sont les suivantes: ∂ 2ui ∂ 2ul ∂ 2Φ ρ = c + e (1) ijkl kij ∂x j ∂x k ∂x j ∂x k ∂t 2 e jkl
∂ 2ui ∂ 2Φ − ε jk =0 ∂x j ∂x k ∂x j ∂x k
(2)
ρ, cijkl, ejkl, et εjk correspondent respectivement à la densité volumique, aux constantes élastiques, aux constantes piézoélectriques et aux constantes diélectriques du cristal. La solution générale est une combinaison linéaire des ondes partielles suivantes :
ui = u e 0 i
jω ( t −
Φ=Φ e 0
nl xl ) v
jω ( t −
(3)
pour le déplacement mécanique,
n l xl ) v
(4) pour le potentiel électrique. Avec nl, xl et v correspondant respectivement aux cosinus directeur, la position spatiale du plan d’onde et la vitesse de cette dernière. La résolution de ces équations associées à des conditions aux limites spécifiques va permettre de déterminer la nature du mode de propagation. Dans le cas du mode de Rayleigh, l’onde évanescente suivant la profondeur, présente une polarisation elliptique dans le plan sagittal comme représenté sur la figure 2.
P22
PLFM
Figure 2 : Représentation de la propagation de l’onde de Rayleigh dans le plan sagittal.
Les principales caractéristiques de ces modes sont la vitesse de propagation, le coefficient de couplage électromécanique. La vitesse de propagation est déterminée par la résolution de l’équation fondamentale de la dynamique associée aux conditions aux limites (contraintes mécaniques libres en surface du matériau et continuité du déplacement et du potentiel électrique). Ce calcul (Figure 3a) est réalisé dans le cas du quartz suivant la coupe ST avec une direction de propagation variable à l’aide d’un code de calcul développé sous MATLAB. 0,16
3500 3450
0,12
3400
K (%)
3350
2
vitesse (m/s)
3550
3300
0,08
3250
0,04
3200 3150 -100 -80 -60 -40 -20
0
20
40
60
direction de propagation ψ
80
100
0,00 -100 -80 -60 -40 -20
0
20
40
60
80
100
direction de propagation Ψ (a) (b) Figure 3: (a) Evolution théorique de la vitesse de phase de l’onde et (b) du coefficient de couplage électromécanique en fonction de la direction de propagation ψ.
Ce résultat permet de mettre en évidence les lieux angulaires d’intérêt ψintérêt où l’énergie et l’onde se propage dans le même sens. Ces points correspondent aux extremums de cette courbe (dv/dψ=0). Le coefficient de couplage électromécanique K2 est déterminé par la relation suivante : K2 = (V02-Vm2)/V02 où V0 et Vm correspondent respectivement à la vitesse de phase définie à la condition de potentiel libre et nulle en surface du matériau tel représenté sur la figure 4.
Figure 4: Représentation schématique pour le calcul du coefficient K2.
La conversion énergie électrique en énergie mécanique et inversement est obtenue pour des valeurs de K2 les plus élevées. La figure 3b permet de déterminer les directions de propagation permettant de maximaliser ce coefficient en corrélation avec les angles d’intérêt ψintérêt où le flux d’énergie est maximum.
P22
PLFM
Dans le cas des applications télécoms, il est impératif de minimiser l’influence des perturbations extérieures sur les performances du dispositif électro-acoustique alors qu’il faut accentuer cette sensibilité dans le cas des capteurs laquelle se traduit par une modification des pertes et/ou de la vitesse de propagation de l’onde. Par exemple, un capteur de pression nécessite une sensibilité aux contraintes mécaniques avec une moindre dérive vis-à-vis de la température. III. Principe de génération des ondes de surface La génération d’ondes élastiques de surface se fait au moyen de transducteurs interdigités métalliques (cf. figure 5). La longueur d’onde est définie par la période géométrique du transducteur et permet de déterminer la fréquence de fonctionnement du dispositif.
Figure 5: Vue de dessus du design du transducteur à electrodes interdigitées.
III.1 Les matériaux piézoélectriques Le quartz et le niobate de lithium sont des matériaux piézoélectriques largement utilisés dans les dispositifs électro-acoustiques pour des propriétés spécifiques qui leur sont propres : - Certaines coupes cristallines du quartz présentent une excellente stabilité en température, - Certaines coupes cristallines du niobate de lithium présentent un coefficient de couplage électromécanique particulièrement élevé. Dans le cadre de cette étude, le quartz est donc utilisé pour réaliser un capteur de pression intrinsèquement compensé en température avec un substrat découpé suivant la coupe ST. Quant au niobate de lithium, il peut être utilisé pour réaliser un capteur en température basé sur la coupe Y (42°) (cette étude reste identiquement la même que pour le quartz). III.2 Procédé technologique de fabrication du composant La technologie est réalisée suivant les procédés standards de la microélectronique en salle blanche. La première étape consiste à nettoyer le substrat avec de l’acétone puis à l’isopropanol de manière à éliminer toutes les traces de résidus organiques entre autres. La seconde étape correspond à déposer par évaporation une couche métallique en aluminium ou en Ti/Au sur une résine optique type AZ et de réaliser les électrodes interdigitées ainsi que les plots d’épaississement par un procédé de lift-off. Une vue du dessus du composant réalisé est présenté figure 6a. Ces composants sont ensuite montés sur une plaque de circuit imprimé permettant leurs tests électriques une fois les interconnexions réalisées par thermocompression (figure 6b).
P22
PLFM
(a) (b) Figure 6 : (a) Vue de dessus d’un capteur à onde acoustique réalisée en salle blanche et (b) monté sur sa plaquette de mesure.
IV. Caractérisation des filtres La mesure est obtenu sur un dispositif réalisé sur un substrat de quartz de 600µm d’épaisseur (coupe ST, propagation suivant l’axe x) avec une longueur d’onde de 64µm. La figure 7 montre la mesure du paramètre S21 réalisé à l’analyseur de réseau Agilent HP 8753C. La fréquence de fonctionnement est de l’ordre de 49.25MHz, ce qui correspond à une vitesse de propagation de 3152m en parfait accord avec la théorie. Pertes d'insertion (dB)
-20
-30
-40
-50
-60 48
49
50
Fréquence (MHz)
Figure 7: Mesure expérimentale du paramètre S21 d’un capteur à quartz.
Etude de la sensibilité du composant en fonction de la température et de la pression. Le principe de la mesure consiste en un filtre disposé dans une chambre ayant une régulation en pression (cf. figure 8). Ce montage permet de mesurer in-situ l’évolution de la fréquence propre du capteur en fonction de la pression appliquée sur le substrat, lequel joue le rôle d’une membrane de 1cm de diamètre en limite de la chambre.
P22
PLFM
Figure 8: Représentation schématique de la chambre de mesure du capteur de pression.
Pour l’étude en température, un élement Pelletier est utilisé et permet d’obtenir des variations de température de plus de 80°C. La phase du paramètre S21 mesuré est montrée figure 9a pour une variation de pression donnée par rapport à la pression atmosphérique (et pour une température fixe). A une fréquence de fonctionnement dans la bande passante, un décalage de la phase de 50° est observé pour une variation de pression de 2.4bar. 150 100
∆f
50 0 -50 -100 -150 -200
Epaisseur=600µm ∆P=0 ∆P=2.4 Bar 49,16 49,20 49,24 49,28 49,32 49,36 49,40
Fréquence (MHz)
(a)
∆f/(f0.∆T) (ppm/°C)
Phase (°)
200
Sensibilité théorique Points expérimentaux
Sensibilité théorique Points expérimentaux
0
20
∆f/(f0.∆P) (ppm/mbar)
40
-50
0
-100
-20
-150 -40 -200 -60
-80
-40
0
40
80
Direction de propagation Ψ
(b)
Figure 9: (a) Evolution expérimentale de la phase en fonction de la fréquence pour 2 variation de pression dans la chambre et (b) évolution théorique et expérimentale de la sensibilité en pression et en température d’un capteur à quartz en fonction de la direction de propagation ψ de l’onde dans le cristal.
Cette expérience peut être reproduite pour différentes direction de propagation dans la coupe ST du quartz afin de quantifier l’effet de l’anisotropie du matériau cristallin sur la sensibilité par rapport à la température ainsi qu’à la pression (cf. figure 9b). Les résultats expérimentaux sont reportés sur la figure 9b et montre un bon accord avec les sensibilités théoriques. Cette étude permet de mettre en exergue l’intérêt de la coupe ST avec une direction de propagation suivant l’axe x laquelle est nettement favorable à la réaliser de capteur de pression. En effet dans ce cas, la sensibilité à la pression est de l’ordre de 190ppm/°C alors que le coefficient de température au premier ordre est pratiquement compensée. Conclusion L’objet de cette étude est la réaliser de capteur d’une grandeur physique (de température ou de pression) avec un dispositif à onde élastique de surface. Cela nécessite une compréhension des phénomènes physiques liés à la propagation des ondes élastiques dans les matériaux piézoélectriques notamment l’effet de l’anisotropie cristallographique de ces matériaux. Il a été mis en évidence la dépendance de la vitesse de propagation avec la pression et la température de manière à déterminer les orientations cristallographiques optimales en vu de la réalisation expérimentale d’un capteur de pression ou de température. Cette étude constitue aussi première approche permettant d’appréhender les différentes étapes de la fabrication d’un microcapteur du matériau jusqu’à son intégration dans un système de mesure. Référence [1] Thèse de Abdelkrim Talbi, Université Henri Poincaré - Nancy I (2003).
P22
CIME
Intégration d’un Polariseur Perpendiculaire dans une Jonction Tunnel Magnétique Maria MARINS DE CASTRO SOUZA Université Joseph Fourier 1 Laboratoire Spintec, CEA-INAC / CNRS / UJFGrenoble 1 / Grenoble-INP – UMR 8191 17, Rue des Martyrs 38054 GRENOBLE cedex 09
R. C. SOUSA1, U. EBELS1, B. RODMACQ1, S. AUFFRET1, C. DUCRUET2, I. L. PREJBEANU2, C. PAPUSOI1, S. BANDIERA1, C. PORTEMONT2, M. T. DELAYE3, Y. DAHMANE1, J. HERAULT1, B. DIENY1 2
Crocus Technology, 4 Place Robert Schuman, 38025 Grenoble Cedex, France 3 CEA/Leti/Minatec, 38054 Grenoble, France
Email :
[email protected]
Résumé Cet article montre l’intégration d’un polariseur à aimantation perpendiculaire aux plans des couches audessous d’une jonction tunnel magnétique classique à aimantation planaire. Dans des structures métalliques similaires, type vanne de spin, cette solution a permis une écriture en quelques centaines de pico-secondes et aussi une réduction du courant critique nécessaire à l’écriture, ce qui permet de réduire la consommation électrique. Dans un premier temps, nous avons développé une structure à forte anisotropie perpendiculaire dans le but d’augmenter la stabilité du système. Ensuite nous avons étudié l’interaction entre le polariseur perpendiculaire et la couche libre de notre jonction tunnel magnétique.
1. Introduction A présent, les technologies mémoires associées à un microprocesseur d’ordinateur sont essentiellement des dispositifs à base de silicium semi-conducteurs: Static Random Acess Memory (SRAM) et Dynamic Random Acess Memory (DRAM). Ces mémoires sont rapides mais elles sont volatiles, en d’autres termes, les données sont perdues lorsque l’alimentation électrique est coupée. La mémoire FLASH offre l’avantage de la non-volatilité. En revanche elle a des cycles d'écriture de l’ordre de la micro-seconde et aussi un nombre de cycles d’écriture limitée. La mémoire magnétique Magnetic Random Acess Memory (MRAM) est une technologie qui combine la rapidité, la non-volatilité et une faible consommation électrique. L’élément de base des cellules mémoires MRAM est une jonction tunnel magnétique constituée de deux électrodes ferromagnétiques séparées par une fine couche isolante appelée barrière tunnel dont l’épaisseur est de l’ordre du nanomètre. Le phénomène physique appelé magnétorésistance tunnel (TMR) est observé dans ces jonctions tunnel magnétiques. Pour expliquer ce processus, considérons que les spins des électrons qui traversent la jonction sont polarisés grâce à leur interaction avec l’aimantation de la
première électrode ferromagnétique. Ensuite ces électrons traversent la barrière par effet tunnel. La résistance de la jonction dépend de l’orientation relative des aimantations de deux électrodes magnétiques lors du passage des électrons d’après le modèle proposé par Jullière en 1975 [1]. En jouant sur l’orientation relative de deux électrodes magnétiques, il est possible d’induire deux états résistifs différents ce qui permet de coder le « 0 » (configuration parallèle) et le « 1 » (configuration antiparallèle) du code binaire qui est la base logique d’une cellule mémoire. La TMR est liée à la différence relative de résistance entre les états parallèle et antiparallèle et est définie par :
TMR =
R AP − RP RP
avec RP et RAP les résistances dans l’état parallèle et antiparallèle respectivement. Il est important d’obtenir des TMR les plus grandes possibles pour préserver la lisibilité de l’information. Le bon fonctionnement d’une jonction tunnel magnétique dépend aussi de la capacité à bien contrôler l’aimantation des couches magnétiques. A cet égard, l’architecture recherchée est une couche dite « piégée » ou « de référence » dont l’aimantation est fixe et une couche dite « libre » ou « de stockage » dont l’orientation peut être modifiée pour induire les configurations parallèle et antiparallèle (Figure 1).
Figure 1. Cellule mémoire MRAM et les deux états résistifs : faible et haute résistance.
J1
CIME
Récemment il a été démontré que la commutation magnétique d'une jonction tunnel magnétique pouvait être réalisée en utilisant le courant polarisé en spin issu de la couche ferromagnétique de référence [2] [3]. Dans un tel dispositif, la réduction du courant critique nécessaire à l'écriture est un élément essentiel vers la diminution de la consommation électrique. Le mode d’écriture par courant polarisé en spin peut être optimisé par l’adjonction dans la structure d’une couche ferromagnétique supplémentaire avec une aimantation orientée perpendiculairement aux plans des couches. L'ajout de ce polariseur supplémentaire permet de réduire le courant critique nécessaire à l’écriture d'un facteur 2 à 3 pour atteindre 2·106A/cm2 [4]. Dans cette optique, nous avons réalisé une structure qui intègre un polariseur perpendiculaire aux plans de couches avec une jonction tunnel magnétique classique à aimantation planaire comme montré dans la Figure 2.
dessous d’un polariseur perpendiculaire à base de Co/Cu/Co augmente significativement la coercivité du système grâce à une augmentation de l’anisotropie d’interface (Figure 3a). La couche qui sépare le polariseur perpendiculaire et la jonction tunnel a également été étudiée. Une couche séparatrice à base d’oxyde d’aluminium entraîne une anisotropie perpendiculaire plus importante du polariseur par comparaison avec une couche métallique comme le cuivre (Figure 3b). Cela rejoint d'autres résultats de la littérature et met en évidence le rôle essentiel que joue l’interface dans les systèmes perpendiculaires [5] [6].
Figure 2. Intégration d’un polariseur à aimantation perpendiculaire et d’une jonction tunnel magnétique à aimantation planaire.
2. Développement de la barrière tunnel et du polariseur perpendiculaire A part une grande amplitude de signal de TMR, un autre paramètre essentiel dans ces types de dispositifs est le produit résistance surface RxA. Effectivement à partir de la loi d’Ohm, nous pouvons écrire :
U = RxA ⋅ j où U est la différence de potentiel aux bornes de la jonction, RxA le produit résistance surface et j la densité de courant nécessaire à l’écriture de la cellule mémoire. Or la valeur de U est fixée à une valeur maximale au delà de laquelle il y a claquage électrique de la jonction. Donc dans le mode l’écriture par courant polarisé en spin, pour que le courant qui provoque la commutation magnétique puisse traverser la barrière tunnel sans provoquer le claquage électrique, il faut que le produit RxA soit de l’ordre de quelques Ω·µm2. Ceci nécessite la réalisation de couches isolantes continues et très fines, d’épaisseur inférieure à 1nm. Nous avons optimisé des barrières à base d’aluminium oxydé naturellement qui présentent une TMR d’environ 25% et un produit RxA d’environ 10 Ω·µm2 après un recuit à 250°C pour 0.65 nm d’aluminium déposé par pulvérisation cathodique. Le développement d’un polariseur avec une forte anisotropie perpendiculaire est un autre aspect de cette étude. Les mesures d’Effet Hall Extraordinaire (EHE) montrent que l’insertion d’une multicouche de Co/Pt en-
Figure 3(a) Coercivité d’une couche perpendiculaire avec et sans la multi couche à base de Co/Pt. 3(b) Anisotropie du polariseur perpendiculaire avec une couche tampon à base de Cu ou de Al2O3.
3. Intégration d’un polariseur perpendiculaire dans une jonction tunnel magnétique L’empilement que nous avons optimisé est donc du type polariseur perpendiculaire avec une multicouche Co/Pt et Co/Cu/Co et une jonction tunnel magnétique à base d’oxyde d’aluminium. Ces deux structures sont séparées soit par une barrière d’alumine, soit par une couche de cuivre. La structure complète a été déposée par pulvérisation cathodique et elle a été recuite à 250°C pendant 1h30 sous vide. Cela correspond à la température optimale à l’obtention d’un maximum de TMR avec une barrière tunnel à base d’alumine tout en gardant des bonnes propriétés d’anisotropie du polariseur perpendiculaire. L'étude des propriétés magnétiques d'un tel système intégré permet de comprendre les interactions qui peuvent exister entre le polariseur et la jonction tunnel. Grâce à des mesures magnétiques à l’aide d’un Vibrating Sample Magnetometer (VSM), nous avons étudié le comportement magnétique d'un tel système. Sur la Figure J1
CIME
4a, le cycle magnétique du système est représenté en fonction du champ magnétique appliqué dans le plan des couches. Le détail montre le cycle d’hystérésis de la couche libre. Partant d'un champ appliqué de +3.5 kOe, on observe successivement la contribution du polariseur perpendiculaire, puis de la couche libre de la jonction (entre -0.4 et 0.4 kOe), puis de la couche piégée de la jonction (entre -0.4 et -1.2 kOe). La Figure 4b montre le signal magnétique obtenu pour une excursion de champ magnétique limitée à ±100 Oe. On remarque que la forme du cycle magnétique de la couche libre est dans ce cas très proche de celui attendu pour une structure de type jonction planaire conventionnelle sans polariseur perpendiculaire. Cette différence s'explique par le fait que, dans le cas de la figure 4a, le champ planaire appliqué de 3.5 kOe est suffisant pour orienter dans le plan des couches l'aimantation du polariseur, initialement à aimantation perpendiculaire et mono-domaine en champ nul. Lors du retour en champ nul, l'aimantation du polariseur redevient perpendiculaire mais avec une structure en domaines (Figure 4c). Les domaines de fermeture du polariseur sont planaires et leur aimantation interagit alors celle de la couche libre de la jonction, en augmentant fortement son champ coercitif. Dans le cas de la Figure 4b, le champ appliqué n'est pas assez important pour perturber l'aimantation du polariseur, préalablement saturé en champ perpendiculaire. On retrouve alors pour la couche libre un champ coercitif classique de l'ordre de 5 Oe.
4. Conclusions Dans le but de réduire le courant critique nécessaire à la commutation dans le cas d’une cellule mémoire MRAM, l’intégration d’un polariseur perpendiculaire au plan de couches avec une jonction tunnel magnétique classique à aimantation planaire a été étudiée. Dans un premier temps, nous avons étudié des systèmes présentant une forte anisotropie perpendiculaire. L’empilement de type multicouche de Co/Pt couplée à Co/Cu/CO est un bon candidat pour le polariseur perpendiculaire en raison d’une coercivité significative. En ce qui concerne la couche qui sépare le polariseur perpendiculaire et la jonction tunnel, l’anisotropie perpendiculaire du polariseur est renforcée si cette couche est un oxyde d’aluminium en comparaison avec une couche à la base de cuivre. Nous avons également étudié le système intégré avec un polariseur perpendiculaire et une jonction tunnel magnétique à aimantation planaire. On remarque que quand le polariseur perpendiculaire est en domaines, il existe une interaction magnétostatique entre les flux de fermeture du polariseur perpendiculaire et la couche libre de la jonction. Cette interaction disparaît si le polariseur est mono-domaine. La prochaine étape de cette étude est la caractérisation électrique de nano piliers pour l’évaluation électrique du courant critique lors de l’écriture de la cellule MRAM.
Références [1] M.T. Jullière, “Tunneling between ferromagnetic films”, Phys. Lett A 54 (1975) pp 225-226 [2] J.A. Katine, F.J. Albert, R.A. Buhrman, E.B. Myers, and D.C. Ralph, “Current-Driven Magnetization Reversal and Spin-Wave Excitations in Co/Cu/Co Pillars”, Phys. Rev. Lett. 84 (2000) pp 3149-3152 [3] J. Grollier, V. Cros, A. Hamzic, J.-M. George, H. Jaffrès, A. Fert, G. Faini, J. Ben Youssef, and H. Le Gall, “Spinpolarized current induced switching in Co/Cu/Co pillars”, Appl. Phys. Lett. 78 (2001) pp 3663 [4] Y. Huai, M. Pakala, Z. Diao, and Y. Ding, “Spin-transfer switching current distribution and reduction in magnetic tunneling junction-based structures”, IEEE Trans. Magn. 41 (2005) pp 2621-2626 [5] Y. Dahmane, S. Auffret, U. Ebels, B. Rodmacq, and B. Dieny, JEMS, “Perpendicular Magnetic Anisotropy induced at Co/Metal and Metal/Co interfaces” Joint European Magnetic Symposia MR015 (2008) [6] Y. Dahmane, C. Arm, S. Auffret, U. Ebels, B. Rodmacq, and B. Dieny, “Oscillatory behavior of perpendicular magnetic anisotropy in Pt/Co/Al(Ox) films as a function of Al thickness” Appl. Phys. Lett. 95 (2009) pp 222514
Figure 4. Réponse magnétique à un champ appliqué dans le plan des couches. 4(a) Cycle majeur. 4(b) Retournement de la couche libre. 4(c) Schéma polariseur perpendiculaire en domaines.
J1
CIME
Mise en place d’un TP de simulation, élaboration et caractérisation d’une cellule photovoltaïque de première génération Céline Ternona,b, Delphine Constantinb, Anne Kaminskia,b, Lionel Claudona,b, Fabien Volpia,b, Quentin Rafhaya,b, Ahmad Bsiesyb (a) Grenoble-INP – Phelma, 3 parvis Louis Néel – BP257 – 38016 Grenoble Cedex 1 (b) Centre Interuniversitaire de Microélectronique et Nanotechnologies (CIME Nanotech), Pôle CNFM de Grenoble, 3 parvis Louis Néel, 38016 Grenoble Cedex 1
Avec les besoins croissants en énergie renouvelable, les activités industrielles dans le domaine du photovoltaïque gagnent une place considérable dans le secteur de l’énergie. Afin d’être en adéquation avec ce marché de l’emploi en plein développement, il devient important de former des ingénieurs compétents dans ce domaine. Un TP est développé au CIME Nanotech pour simuler, élaborer et caractériser une cellule photovoltaïque de première génération. Le travail de simulation (sur SILVACO) porte sur les procédés de fabrication de la cellule, ainsi que sur sa réponse I-V sous éclairement. La fabrication (effectuée dans la salle blanche du CIME Nanotech) comprend essentiellement les étapes suivantes : (1) texturation de la surface d’un substrat de silicium (2) réalisation d’une jonction pn, (3) dépôt d’une couche anti-reflet et (4) métallisation. Au-delà de la confrontation aux procédés de fabrication en salle blanche, les étudiants appréhendent l’importance d’étapes technologiques comme, par exemple, la texturation ou la couche anti-reflet en organisant des parcours différents à leurs échantillons. Ainsi quatre cellules différentes peuvent être élaborées : une jonction pn simple / une jonction pn avec texturation de surface seule / une jonction pn avec dépôt anti-reflet seul / une jonction pn avec texturation et dépôt anti-reflet. Ces cellules sont ensuite testées électriquement sous obscurité et sous simulateur solaire. Les caractéristiques I-V à l’obscurité et sous éclairement sont mesurées. Les rendements et autres paramètres caractéristiques (facteur de forme, résistance série…) de chaque cellule sont ensuite calculés et comparés.
Introduction Le développement de l'énergie photovoltaïque connaît un réel essor depuis une dizaine d'années, et de nombreux pôles de recherches y sont maintenant entièrement consacrés. Notamment en Rhône-Alpes où l'INES (Institut National de l'Energie Solaire), localisé à Chambéry, occupe une place de référence dans son domaine à travers l'Europe grâce à des partenaires tels que le CEA ou le CNRS eux mêmes à la tête d'un pôle scientifique majeur de rang international à Grenoble. C'est dans l'optique de mieux préparer les élèves-ingénieurs aux enjeux du contexte énergétique actuel que le cas de l'énergie solaire doit être abordé de façon pédagogique, au sein du groupe Grenoble INP. Le centre de formation CIME Nanotech et l’école d'ingénieur Phelma, se donnent pour objectif de proposer des enseignements à l’état de l’art des connaissances scientifiques et des moyens technologiques. Cette pédagogie s’appuie évidemment sur les activités de recherche P23
CIME
développées dans de leurs équipes. Avec cet objectif, il est devenu important de mettre en place des enseignements spécifiques dans le domaine de l'énergie solaire, et plus particulièrement dans celui des cellules solaires photovoltaïques de première génération (les plus répandues de nos jours). Dans cette optique, l'objectif majeur de ce projet réalisé au CIME Nanotech est d'établir une chaîne de procédés technologiques fiable permettant la fabrication d'une cellule solaire, dans un but pédagogique.
I.
Objectifs pédagogiques
Lors de la conception de ce TP, un certain nombre de choix pédagogiques ont été réalisés. En effet, si l’on considère la filière technologique pour les cellules solaires de première génération, il n’y a que peu de points communs avec les filières technologiques de la microélectronique. Or, le temps en salle blanche pour les étudiants étant limité et n’ayant pas encore de filière d’enseignement entièrement dédiées au photovoltaïque, il nous fallait mettre au point un TP permettant d’allier à la fois découverte des cellules solaires et découvertes des différentes technologies utilisées en salle blanche. Ainsi, des procédés utilisés en standard dans l’industrie du photovoltaïque ont été remplacés par des procédés standard de la microélectronique. Par exemple, plutôt que l’usage de la sérigraphie pour la prise de contact électrique, nous avons opté pour un procédé lift-off (photolithographie suivie d’une pulvérisation) pour la face avant et une évaporation pour la face arrière. Egalement dans un premier temps, l’étape de diffusion est remplacée par une implantation ionique suivie d’un recuit, ce qui permet de ne pas avoir à découper la cellule en fin de procédé (effet de bord). Ainsi les procédés découverts par les étudiants au cours de ce TP sont au nombre de neuf : texturation par bain de KOH, implantation ionique, recuit thermique, dépôt par PECVD, pulvérisation et évaporation, photolithographie et gravure humide, technique du lift-off. La figure 1 illustre la filière technologique choisie. A ces procédés d’élaboration s’ajoute l’initiation à des techniques de caractérisation comme l’ellipsométrie, la microscopie électronique à balayage (MEB), la profilométrie, la R□,…
Figure 1 : Vue d’ensemble de la filière technologique choisie. Pour plus de clarté, la texturation n’a pas été reportée sur chacun des schémas.
Enfin, le but de ce TP n’est pas la performance de la cellule mais que les étudiants comprennent l’intérêt des différentes étapes, telles que la texturation et la couche anti-reflet. Ainsi, chaque groupe d’étudiants réalise au cours d’un cycle de TP quatre cellules différentes : (i) une
P23
CIME
jonction PN simple, (ii) une jonction PN texturée, (iii) une jonction PN recouverte d’une couche anti-reflet, et (iv) une jonction PN texturée et recouverte d’une couche anti-reflet (voir figure 2). Ainsi lors de la caractérisation, il est possible de déterminer l’apport de chacune des étapes sur le rendement final de la cellule.
Figure 2 : Coupe des quatre cellules réalisées lors du cycle de TP. Les codes couleurs sont identiques à ceux utilisés sur la figure 1.
Par ailleurs, une séance de simulation sous les logiciels ATLAS et ATHENA de la société Silvaco® a également été conçue en préambule des séances en salle blanche afin de permettre aux étudiants de découvrir les techniques de simulation existantes et de leur permettre de comprendre l’enchaînement des étapes technologiques avant d’y être confronté en salle blanche. Les cellules alors simulées n’intègrent pas l’effet de la couche de texturation.
II.
Simulation
Une séance de 4 heures est dédiée à la simulation. Une première étape, réalisée sous ATHENA, permet de simuler la structure de la cellule telle que présentée sur la figure 3a. Pour parvenir à ce résultat, un certain nombre de paramètres sont fournis aux étudiants, tels que les caractéristiques du substrat initial, la dose à implanter, les températures de recuit post-implantation, quelle réflexion doit minimiser la couche anti-reflet… Ainsi, outre la réalisation du programme de simulation, les étudiants doivent également tester les paramètres restants comme la durée du recuit post-implantation ou déterminer l’épaisseur de la couche anti-reflet. Une seconde étape, réalisée sous ATLAS, permet ensuite de modéliser le comportement électrique sous éclairement obtenu avec une telle cellule. La figure 3b présente la caractéristique I(V) obtenue dans le domaine de fonctionnement actif. Une fois le programme réalisé, les étudiants sont libres de modifier les différents paramètres, voire éliminer la couche anti-reflet, afin d’en déterminer les conséquences sur les propriétés électriques des cellules Enfin, les étudiants n’ayant a priori aucune connaissance sur les cellules solaires, cette séance permet d’introduire les notions fondamentales telles que le courant de court-circuit (Icc), la tension en circuit ouvert (Voc), le point de puissance maximum (Pmp), le rendement, le facteur de forme…
P23
CIME
Figure 3 : (a) haut : structure de la cellule, bas : profil de dopage ; (b) caractéristique I(V) obtenue sous éclairement.
III.
Réalisation en salle blanche
Une dizaine d’heures sont dédiées au travail en salle blanche. Les principaux procédés technologiques abordés sont les suivant : Nettoyage standard des plaquettes (attaque HF, caro, HF) texturation par attaque chimique du substrat. La figure 4 présente un exemple type de texturation obtenue en TP (observations au MEB) Dépôt par PECVD de la couche de nitrure anti-reflet (étape effectuée par les équipes techniques en dehors de la séance de TP) Implantation ionique Recuit d’implantation (activation des dopants et passivation des défauts Photolithographie Gravure humide par bain d’attaque HF/NH4F Dépôt d’aluminium par pulvérisation cathodique (Face avant) Dépôt d’aluminium par évaporation (Face arrière) Retrait du métal par le procédé de lift-off
Figure 4 : Texturation de la surface d'un substrat de silicium, observé au MEB.
P23
CIME
Outre ces étapes technologiques, de nombreuses étapes de caractérisation « en ligne » sont prévues au cours des séances telles que : - observations au microscope électronique à balayage de la couche de texturation (voir figure 4) - mesure à l’ellipsomètre de l’épaisseur de la couche anti-reflet de Si3N4, - mesure de la résistivité des contacts métallique Egalement, un temps est accordé pour la simulation de l’implantation au moyen du logiciel TRIM. La figure 5 présente le découpage et l’organisation des séances permettant la réalisation des cellules solaires.
Figure 5 : Découpage des séances indiquant les différentes étapes technologiques ainsi que les caractérisations effectuées en cours de réalisation
Afin de sensibiliser les étudiants au concept de suivi de procédé, il est fourni à chaque étudiant une fiche de suivie reprenant les étapes de la filière et lui permettant de noter les caractéristiques techniques de chaque étape et éventuellement les défauts rencontrés. Enfin, une cellule type obtenue à l’issue des séances en salle blanche est présentée sur la figure 6.
Figure 6 : Cellules solaires types réalisées en TP. De gauche à droite : cellule avec jonction pn seule, cellule avec texturation seule, cellule avec anti-reflet seul, cellule avec texturation et anti-reflet.
P23
CIME
IV.
Caractérisation
Actuellement, environ 2 heures sont dédiées à la caractérisation électrique sous simulateur solaire de spectre AM1.5. Le but est de mesurer les courbes I(V) dans le noir et sous éclairement, d’en tirer les caractéristiques principales de chaque cellule (rendement, facteur de forme, résistance série, résistance parallèle,…) et surtout de comparer les 4 cellules entre elles et ainsi démontrer l’intérêt de la texturation et de la couche anti-reflet. Une fois les quatre cellules comparées, on s’intéresse plus en profondeur aux caractéristiques obtenues. Par exemple, la résistance série de nos cellules est trop importante, ce qui est dû au fait que les contacts métalliques ne sont pas recuits après dépôt, il est donc intéressant de faire réfléchir les étudiants sur ce genre de problème et ainsi leur permettre de comprendre comment une caractérisation électrique peut permettre de mettre en évidence des problèmes technologiques en amont.
Conclusion et perspectives Nous avons donc mis en place un cycle de TP ayant pour but la simulation, la réalisation et la caractérisation de cellules solaires de première génération tout en abordant les principales technologies utilisées en salle blanche. Ainsi, les étudiants sont à la fois confrontés aux points clés de la technologie photovoltaïque et aux technologies dédiées à la microélectronique. Ce cycle de TP a d’ores et déjà été proposé à une vingtaine d’étudiants qui ont fort apprécié de pouvoir découvrir la technologie photovoltaïque. Des évolutions du TP sont prévues pour les parties réalisation et caractérisation : - en salle blanche : fenêtres de domaines PV au travers d’un oxyde épais, dopage par diffusion pour la jonction pn (en remplacement de l’implantation ionique), dopage de la face arrière,… - en caractérisation : test de structures TLM pour accéder aux paramètres électriques (Résistance série,…)
Remerciements Merci aux responsables pédagogiques de la salle blanche qui ont soutenu ce projet, tout d’abord Michel Labeau, initiateur de ce projet, ainsi qu’à Jallal Jomaah qui a permis de mettre en route les premiers tests pour la mise en place de la filière.
P23
CCMO
Mise en œuvre et caractérisation d’un système de transmission RF dans la bande ISM 2.4 GHz-2.4835 GHz Jérôme Tissier Département Electronique et Sciences Physiques Groupe ESEO - 4 rue Merlet de la Boulaye, BP30926, 49009 Angers Cedex 01, France (Pôle CNFM de RennesCCMO) Présentation et contact : Jérôme Tissier (
[email protected])
Résumé Cet article présente une activité pratique, organisée sous la forme d’un mini-projet, mise en place cette année, à l’intention des étudiants de deuxième année ingénieur (M1) de l’ESEO, sur un volume horaire de 16 heures. Son objectif pédagogique est double. D’une part, ce mini-projet doit permettre aux étudiants de comprendre et mesurer les paramètres importants d’un émetteur/récepteur RF (puissance émise et reçue, sensibilité du récepteur, encombrement spectral de la modulation employée, débit, PER…). D’autre part, il doit permettre aux étudiants de se familiariser avec des outils de mesures plutôt spécifiques au domaine RF/Hyper à savoir des analyseurs de spectre et des analyseurs de réseaux vectoriels.
1. Démarche pédagogique Ce mini-projet, d’une durée totale de 16 heures, est né de la réorganisation de nos activités pédagogiques pratiques du premier semestre de la deuxième année du cycle ingénieur (M1-S7). La première séance de 4 heures est une séance de TP traditionnelle, encadrée, qui doit permettre aux étudiants répartis en binômes, de se familiariser avec l’utilisation d’un analyseur de réseau vectoriel et d’un analyseur de spectre par la mesure respective d’un amplificateur faible bruit et d’un amplificateur de puissance, éléments analogiques clefs d’un émetteur/récepteur RF. Cette séance est aussi consacrée à une étude bibliographique sur les différents types de modulations numériques pouvant être employés par l’émetteur/récepteur utilisé. Les étudiants travaillent alors de manière autonome et ont à chercher l’information par leur propre moyen. Cette méthode s’inspire de la méthode utilisée lors de l’APP (Apprentissage Par Projet) “Communication numérique et analogique”, réalisé en première année du cycle ingénieur (L3-S6). Au cours des deuxième et troisième séances suivantes, les étudiants se regroupent par 4 (à cause de contraintes matérielles) et mettent en pratique les connaissances acquises lors de la première séance pour caractériser différents points de leur émetteur/récepteur : adaptation de l’antenne, sensibilité du récepteur, mesure de la puissance émise et reçue, encombrement spectral de
différentes modulations numériques, débit maximal possible en fonction de la modulation employée…Enfin, la dernière séance de 4 heures est réservée à l’évaluation. Chaque groupe de 4 étudiants présente un petit exposé oral dans lequel il commente et explique à l’enseignant tous les résultats pratiques obtenus au cours de ce mini-projet ainsi que les études bibliographiques réalisées.
2. Mesures de l’amplificateur faible bruit (LNA) et de l’amplificateur de puissance (PA) du CC2591 Les amplificateurs (faible bruit pour la réception et de puissance pour l’émission) sont des éléments analogiques incontournables des chaînes de transmission sans fil modernes. Placés juste derrière l’antenne ils vont définir directement la qualité du transmetteur tant en émission (niveau de puissance émis, débit…) qu’en réception (sensibilité, rapport signal à bruit…). L’émetteurrécepteur intégré 2.4 GHz (CC2500 de Texas Instrument [1]) que nous étudierons plus en détail dans la suite du mini-projet ne déroge pas à cette règle (figure 1).
Figure 1 - Schéma synoptique simplifié du CC2500 Pour des raisons pratiques de mesures (pas de deuxième accès RF disponible sur le CC2500) ce n’est pas le PA et le LNA du CC2500 que les étudiants caractériseront mais ceux du CC2591 [2]. Le CC2591 s’intercale entre l’antenne et le CC2500 et améliore ainsi la puissance émise en configuration
P17
CCMO
émetteur (limitée à seulement 0 dBm avec le CC2500) et la sensibilité en configuration récepteur. Il possède, comme le CC2500, un PA en mode émetteur, un LNA en mode récepteur et un switch pilotable numériquement pour passer d’un mode à l’autre. Il a de plus l’avantage d’être disponible en circuit d’évaluation (figure 2) sur lequel on peut passer simplement du mode émetteur (configuration PA) au mode récepteur (configuration LNA).
mesurer le courant continu consommé par le PA de manière à évaluer le PAE (Power Added Efficiency,
P − Pe donné par : PAE = s ×100 ) en fonction de la PDC
puissance d’entrée Pe (figure 5) Comme précédemment, ils peuvent vérifier la cohérence des résultats obtenus par comparaison avec les données du constructeur, fournies dans la datasheet du CC2591[2].
25 20
Ps en dBm
15 10 5 0
Figure 2 – circuit d’évaluation du CC2591
-5
Après étalonnage de l’analyseur de réseau vectoriel par la méthode SOLT, les étudiants ont à mesurer les paramètres S de leur LNA. (figure 3). Ils peuvent vérifier la cohérence des résultats obtenus par comparaison avec les données du constructeur fournies dans la datasheet du CC2591[2].
-10 -30
-25
-20
-15
-10
-5
0
5
Pe en dBm
Figure 4 – tracé de Ps en fonction de Pe 35
30
25
PAE en %
2.1 Résultats de mesures de l’amplificateur faible bruit (LNA)
20
15
10
-4
10
-6
8
-8
6
-10
4
-12
2
-14
0
-16
-2
-18 2.0
2.2
2.4
2.6
2.8
0 -30
-25
-20
-15
-10
-5
0
5
Pe en dBm
dB(S(2,2)) dB(S(1,1))
dB(S(2,1))
5
12
3.0
freq, GHz
Figure 3 – paramètres S mesurés du LNA
2.2 Résultats de mesures de l’ amplificateur de puissance (PA) A l’aide d’un générateur RF à 2.44 GHz, les étudiants font varier la puissance d’entrée, injectée sur l’entrée du PA et mesurent la puissance de sortie sur analyseur de spectre, de manière à pouvoir tracer la courbe Ps=f(Pe) (figure 4), et en déduire le gain de l’amplificateur et son point de compression à 1 dB. En parallèle, ils ont à
Figure 5 – tracé du PAE en fonction de Pe
3. Etude bibliographique modulations numériques
sur
les
Pendant que la moitié des étudiants est en TP, l’autre moitié effectue une étude bibliographique sur les modulations numériques et il y a inversion au bout de la durée du TP (environ 2 heures) de telle sorte que tous les étudiants auront eu une partie pratique et une partie théorique au cours de cette première séance de miniprojet. Cette étude bibliographique porte sur les trois modulations supportées par le CC2500 et que les étudiants utiliseront par la suite, à savoir les modulations ASK-OOK, FSK et MSK. A l’issu de celle-ci les étudiants devront être capable :
P17
CCMO
• • • •
de présenter et expliquer chacune de ces modulations de manière claire et détaillée, de donner l’encombrement spectral de chacune de ces modulations, de définir l’indice de modulation d’une modulation FSK en précisant bien les termes employés dans sa définition, de présenter les avantages et inconvénients de chacune de ces modulations.
permettant de modifier simplement tous les paramètres reconfigurables du CC2500 (mode émetteur/récepteur puissance émise, type de modulation, fréquence centrale, débit…) sans avoir à passer par une longue et fastidieuse programmation du microcontrôleur (figure 7)
Pour cette étude, outre les ressources disponibles sur internet, nous leur mettons à disposition un livre, déjà utilisé l’année précédente pour notre APP (Apprentissage Par Projet) [3]
4. Etude et mesure du transmission RF à 2.4 GHz
système
de
4.1 Matériel utilisé L’élément central de ce système de transmission est un circuit intégré RF de Texas Instrument, le CC2500 [1] pouvant servir à la fois d’émetteur et de récepteur Ce circuit intégré est monté sur un circuit d’évaluation, le CC2500EM. Le CC2500EM est, quant à lui, monté sur une carte de développement (SmartRF04EB), présentée figure 6 et fournie également par Texas Instrument dans un kit complet de développement, le CC2500DK [4].
Figure 7 –exemple d’interface fournie par le logiciel Smart RF Studio
4.2 Mesure de l’adaptation de l’antenne L’antenne fournie dans le kit de développement et qui sera utilisée pour la communication est une antenne “ brin ” en λ/4. Les étudiants ont à mesurer l’adaptation de l’antenne et son TOS sur analyseur de réseau. En outre, en étudiant son diagramme de rayonnement fourni dans la datasheet, ils ont à conclure sur les performances ainsi que les avantages et inconvénients d’une telle antenne.
4.3 Mesure du seuil de sensibilité du récepteur
Figure 6 –carte de développement SmartRF04EB La carte de développement présentée sur la figure 6 contient toutes les couches nécessaires, tant hard que soft, pour réaliser et tester simplement une application sans fil dans la bande des 2.4 GHz. Elle possède, entre autre un microcontrôleur USB, le C8051F320 de Silicon Labs, qui va permettre de piloter et configurer notre Emetteur/Récepteur CC2500. Le dialogue entre l’utilisateur et la carte se fera par l’intermédiaire d’un logiciel (fourni également par Texas Instrument) nommé Smart RF Studio [5]. Pour se faire, une liaison USB sera utilisée entre le port USB de la carte et le PC de l’utilisateur. Smart RF Studio est un logiciel qui fourni à l’utilisateur une interface sur son PC
Le seuil de sensibilité du récepteur est une donnée très importante pour déterminer la qualité d’un récepteur. Elle représente le plus faible signal RF que peut recevoir le récepteur tout en gardant une qualité de signal informatif transmis acceptable. Plusieurs méthodes peuvent être utilisées pour déterminer ce seuil de sensibilité en fonction du matériel à disposition [6] Pour mettre en œuvre une de ces méthodes, les étudiants auront besoin d’un générateur RF associé à un générateur de fonction, d’un oscilloscope, d’un analyseur de spectre, d’un PC avec Smart RF studio et d’une carte SmartRF04EB (figure 8).
P17
CCMO
4.4.1 Puissance réelle émise Le but de cette manipulation est de vérifier, à l’aide d’un analyseur de spectre, le niveau de puissance réel émis par l’émetteur pour différentes valeurs de puissances programmées sur Smart RF Studio. 4.4.2 Puissance réelle reçue Cette fois-ci, l’objectif de la mesure est de valider l’équation des télécommunications en espace libre qui, sous sa forme la plus simple, est donnée par :
Figure 8 –principe de mesure du seuil de sensibilité
Pour créer une modulation FSK, on utilise un générateur RF en modulation de fréquence piloté en externe par un générateur de fonction fournissant un signal carré. On crée ainsi un signal informatif en 010101…01 facilement identifiable à l’oscilloscope, une fois passé par le récepteur pour être démodulé. Pour déterminer le seuil de sensibilité, on a plus alors qu’à baisser le niveau de puissance du générateur RF jusqu’à détecter une erreur de transmission de bit sur l’oscilloscope (figure 9).
λ Pr = Pt + G t + G r + 20 log − 20 log d 4π avec : • • • • • •
Pt puissance transmise en dBm Pr puissance reçue en dBm Gt gain de l’antenne d’émission en dBi Gr gain de l’antenne de réception en dBi d la distance en m séparant les 2 antennes λ la longueur d’onde en m correspondant à la fréquence de travail
Pour se faire, les étudiants utiliseront là encore l’analyseur de spectre, sur lequel ils auront connecté leur antenne “ brin ” pour mesurer la puissance reçue, à une certaine distance d de l’émetteur.
4.5 Mesure de l’encombrement spectral des modulations FSK, ASK/OOK et MSK
Figure 9 –signal démodulé et horloge de synchronisation près du seuil de sensibilité Par cette méthode, les étudiants doivent pouvoir retrouver le seuil de sensibilité du récepteur du CC2500, qui doit être d’environ -100 dBm pour un débit symboles de 10 kBaud.
4.4 Mesure des puissances RF émise et reçue Pour réaliser ces mesures, l’émetteur continu une porteuse non modulée.
enverra en
Pour réaliser ces mesures, l’émetteur enverra en continu une porteuse modulée par un signal informatif aléatoire. Le but de ces mesures est de vérifier les encombrements spectraux théoriques de chacune des modulations que les étudiants ont du trouver lors de l’étude bibliographique. Les mesures sont faites sous analyseur de spectre, directement à la sortie de l’émetteur. Ce dernier est paramétré sous Smart RF Studio avec les caractéristiques suivantes : • modulations employées : FSK à 2 fréquences porteuses avec une excursion de fréquence de 50 kHz ASK/OOK MSK • puissance de l’émetteur : -10 dBm • débit symboles : 50 kBauds Les figures 10, 11 et 12 présentent les spectres obtenus pour chacune des modulations citées précédemment que les étudiants doivent observer, ainsi que les encombrements spectraux qu’ils doivent mesurer.
P17
CCMO
4.6 Visualisation du diagramme de l’œil du signal reçu
Figure 10 – spectre de la modulation ASK/OOK pour une fréquence porteuse de 2.433 GHz
Les analyseurs de spectre que nous avons à disposition pour ce mini-projet (N9320B de Agilent) possèdent la fonction démodulation d’un signal ASK ou FSK et affichage, soit directement de la trame du signal informatif démodulé ou bien encore du diagramme de l’œil associé à ce signal informatif démodulé. Les étudiants peuvent mettre à profit cette possibilité offerte par les analyseurs de spectre pour mesurer le diagramme de l’œil du signal démodulé à la réception et voir l’influence de la position et de la distance de l’émetteur sur la qualité de la réception. Un exemple de diagramme de l’œil obtenu est présenté sur la figure 13. L’émetteur émet en continu et en modulation ASK/OOK un signal informatif aléatoire. Le débit symbole est réglé à 50kBauds. La puissance d’émission est de -10 dBm et la distance entre l’émetteur et le récepteur (l’analyseur de spectre sur lequel est connectée l’antenne) est d’environ 3m50.
Figure 11 – spectre de la modulation FSK pour une fréquence porteuse de 2.433 GHz Figure 13 – exemple de diagramme de l’œil obtenu sur l’analyseur de spectre.
4.7 Mesures sur le système de transmission complet Il est demandé maintenant aux étudiants d’établir une communication entre l’émetteur et le récepteur avec les caractéristiques suivantes :
Figure 12 – spectre de la modulation MSK pour une fréquence porteuse de 2.433 GHz
• modulation employée : FSK à 2 fréquences porteuses avec une excursion de fréquence de 50 kHz • puissance de l’émetteur : -10 dBm • débit symboles : 50 kBauds Le mode d’émission/réception par paquet sera maintenant utilisé sous Smart RF studio. Il est tout d’abord demandé aux étudiants de transmettre quelques mots de l’émetteur vers le récepteur
P17
CCMO
et de mesurer le PER (Packet Error Rate) obtenu grâce à Smart RF Studio. Le message devra être envoyé un nombre de fois suffisant pour que la mesure soit précise et cohérente. On admet généralement qu’un PER de 1% est correct pour définir une communication de bonne qualité. Les étudiants ont donc maintenant à ajuster le débit symbole pour trouver le débit symbole maximum qu’ils peuvent obtenir en conservant un PER de 1% ou moins. Ils ont enfin à réaliser une mesure identique avec les modulations ASK/OOK et MSK de manière à établir une comparaison entre les différentes modulations.
Références
5. Conclusion
[6] M. Engjom, “Practical Sensitivity Testing”, Texas Instrument Design Note DN002
[1] http://focus.ti.com/docs/prod/folders/print/cc2500.html [2] http://focus.ti.com/docs/prod/folders/print/cc2591.html [3] J.L. Azan, “Précis d’électronique”, Bréal [4] http://focus.ti.com/docs/toolsw/folders/print/cc2500cc2550dk.html
[5]http://focus.ti.com/docs/toolsw/folders/print/smartrftmstudio.html
Dans cet article, un mini-projet de 16h, destiné aux étudiants de deuxième année du cycle ingénieur (M1-S7) de l’ESEO a été présenté. Le thème de ce mini-projet est la mise en œuvre et la caractérisation d’un système de transmission RF dans la bande ISM 2.4 GHz-2.4835 GHz. Il s’inscrit dans la suite logique de l’APP (Apprentissage Par Projet) “Communication numérique et analogique”, réalisé en première année du cycle ingénieur (L3-S6) et se situe à mi-chemin entre un enseignement pratique traditionnel et un enseignement plus novateur type APP. Il se compose tout d’abord d’un TP traditionnel, encadré, qui doit permettre aux étudiants de se familiariser avec l’utilisation d’un analyseur de réseau vectoriel et d’un analyseur de spectre par la mesure respective d’un amplificateur faible bruit et d’un amplificateur de puissance. Ce TP, ainsi que l’étude bibliographique non encadrée effectuée en parallèle, doit permettre aux étudiants d’aborder de manière plus autonome la seconde partie du mini-projet, au cours de laquelle ils vont devoir tout d’abord mesurer différents paramètres de leur émetteur/récepteur RF à savoir, l’adaptation de l’antenne, la sensibilité du récepteur, les puissances réelles RF émise et reçue, l’encombrement spectral de différentes modulations numériques (ASK/OOK, FSK, MSK) ou bien encore le diagramme de l’œil du signal démodulé à la réception. Ensuite, ils vont pouvoir établir une communication entre leur émetteur et leur récepteur et évaluer le débit maximal possible en fonction de la modulation employée pour comparer les différentes modulations entre elles. L’émetteur/récepteur RF utilisé dans ce mini-projet est monté sur une carte de développement qui contient toutes les couches nécessaires, tant hardware que software, pour réaliser et tester simplement une application sans fil dans la bande des 2.4 GHz, ceci grâce à l’utilisation du logiciel Smart RF Studio. Cet ensemble carte de développement+logiciel Smart RF Studio, utilisé dans l’industrie pour évaluer rapidement les performances d’un système RF peut donc également s’avérer très utile à des fins plus pédagogiques comme c’est le cas pour notre mini-projet.
P17
PLM
Utilisation de l'outil ATLAS pour la simulation de transistors organiques à base de pentacène (OTFT) Thierry TRIGAUD1, Bruno LUCAS1 1 Université de Limoges, Faculté des Sciences & Techniques, 123 Av. Albert Thomas, 87060 LIMOGES Cedex, France
[email protected] : correspondant principal
Dans le cadre d’un projet de master 2 nous avons demandé aux étudiants de simuler par Atlas le fonctionnement de transistors organiques afin d’obtenir des caractéristiques, de sortie et de transfert de (grain monocristallins plus ou moins grand donc avec des transistors en couches minces désordonnée (grain joints de grain, des impuretés chimiques). Les résultats de la simulation, nécessitant l’utilisation des modules TFT et organic display sont confrontés à des résultats expérimentaux pour ajuster les paramètres de la simulation.
I – Géométrie des transistors Dans un premier temps des transistors réellement fabriqués au laboratoire dans le cadre de thèses sont testés électriquement. Les transistors organiques à base de pentacène (semi conducteur organique de type p) ont été réalisés dans la configuration « Top contact voir figure 1 » sur un plaque de verre recouverte de 120 nm d’ITO. . Cette ITO constitue le contact de grille (G). L’isolation de la grille est assurée par une couche de PMMA (500nm). L’isolant est ensuite recouvert par 50nm d’un semi conducteur organique (SC), le pentacéne. Les contacts de source (S) et de drain (D) sont obtenus par PVD d’une couche d’or.
Pentacène
Figure 1 : géométrie des transistors simulés.
La géométrie du transistor, point de vue quantitatif : c'est-à-dire les différentes épaisseurs ainsi que la largeur L =4mm et la longueur (50, 100, 250µm) du canal sont entrées par les étudiants dans le simulateur. Un maillage de l’espace correctement défini pour les calculs numériques des courants dans les OTFT est également défini par les étudiants.
P25
PLM
II – Résultat à partir des grandeurs entrées par défauts. Dans un premier temps seul les paramètres non définis dans les outils Silvaco sont précisés. Le pentacène qui est la molécule semi-conductrice la plus utilisée dans les OTFT est défini dans atlas comme peut l’être l’ITO dans le cadre des modules LED et OLED. Finalement en précisant les valeurs, du travail de sortie des électrodes en or (W=5eV) et la permittivité relative du PMMA (εr=2,6) les caractéristiques de transfert de la figure 2 ont été obtenues pour 3 différentes longueurs de canal (×10 − 4 )
− ( I ds ) ( A)
1,6
Vds = -30 V
250µm 100µm 50µm
1,2 0,8 0,4 0
-10
0
10 -Vgs (V)
20
30
Figure 2 : Caractéristiques de transfert d’OTFT obtenu à partir des caractéristiques physique et électronique des matériaux données par défaut dans ATLAS.
6
(×10 − 4 )
− ( I ds ) ( A)
Vds = -30 V 100 µm 250 µm 50 µm
4
2
0 -10
0
10 -Vgs (V)
20
30
Figure 3 : Caractéristiques de transfert obtenues expérimentalement Les caractéristiques simulées sont très loin de ce qui est obtenue expérimentalement (Figure 3) donc un travail d’ajustement des paramètres sur les matériaux est nécessaire
III - Ajustement des paramètres pour la simulation Un travail bibliographique a été entrepris par les étudiants afin de préciser tout les paramètres possibles. C’est ainsi que très rapidement les étudiants ont pu constater que certaines valeurs entrée par défaut étaient totalement fausses, en particulier les caractéristiques du pentacène pour lequel le gap, la permittivité et l’affinité électronique ont été corrigés (eg=1,8eV , εr=3 et χ= 4,5). Sans rentré dans les détails les paramètres permettant de déterminer la mobilité ont également été ajustés pour se rapprocher des mesures expérimentales.
P25
PLM
Les étudiants ont également été amenés à étudier finement la structure de bande des matériaux désordonnés organique. Dans ce cas, la structure de bande n’est pas simple et nécessite de définir des états de défaut qui apparaissent dans le gap du matériau sous forme de piège. D’autre part, dans le cas des semi-conducteur organique (π-conjugué), la densité des états dans la bande de conduction et de valence est considéré comme composée de quatre bandes : la bande de valence (avec des niveaux donneurs d’électrons) la bande de conduction (avec des niveaux accepteur d’électrons et deux bandes polaroniques modélisées par des distributions gaussienne. Le modèle utilisé dans atlas tient compte de toutes ces bandes et la spécification de 4 paramètres de bandes permettent de déterminer la densité totale des états dans la bande de conduction et de valence (il n’est pas possible, surtout pour les étudiants, de différencier tous ces états et une valeur globale est donnée pour la bande de valence et de conduction). Avec des densités d’états dans la bande de conduction de et de valence de 2.1018cm-3 et des concentrations en piège de 2,17.1017cm-3 ajuster par « tâtonnement » les caractéristiques de sortie expérimentales proche des caractéristiques de sorties simulées sont alors obtenues.
7,00E-07
-40 V
6,00E-07
-40 V
5,00E-07
-30 V
Simulation Experiment
Ids (A)
4,00E-07
-30 V
3,00E-07
-20 V
2,00E-07
-20 V 0V
1,00E-07
0V
0,00E+00 0
10
20 -Vds (V)
30
40
Figure 4: Caractéristiques de sorties d’ OTFTs : exprimentale (en traits pointillés) vs. simulation numérique (en traits plein). Vgs variant de 0 à -40V pour un OTFT dont le canal a une largeur W=4mm et une longueur L=50 µm. En supposant maintenant que le décalage peut être du à une majoration de la conductivité des simulation ont été faites mais sans succès. Les résultats les plus satisfaisants ont été obtenus en ajustant les densités des états dans la bande de conduction et de valence. Comme le montre la figure 5 . Dans ce cas un calcul des mobilités sur les courbes expérimentales et sur les courbes simulées montre que l’erreur n’est pas sur la mobilité.
P25
PLM
(×10− 4)
(×10− 4)
L=50 µm
L= 250 µm
2
A) Vds = -30 V
4
experiment
2
µexp=0,0016 cm²/Vs µsim=0,0019 cm²/Vs
) (
simulation
− (I
− (I
ds
ds
)
( A)
6
simulation
experiment
1
µexp=0,0019 cm²/Vs µsim=0,0019 cm²/Vs
0,5 0
0 -10
Vds = -30 V
1,5
0
10 - Vgs (V)
20
30
-10
0
10 -Vgs (V)
20
30
Figure 2 : Courbe I(d)=f(Vgs) pour différentes longueurs de canal, obtenues par simulation et expérimentalement.
Conclusion Atlas permet de simuler des transistors organiques en couches minces avec un accord correct entre l’expérience et la simulation. La théorie des transistors en couche mince reste assez complexe par rapport à ce que les étudiants maitrisent sur le silicium monocristallin et il est difficile de déterminer quel paramètre est à ajuster parmi pas loin d’une quarantaine possible. Par exemple s’il a été possible d’obtenir des courbes théoriques proches des courbes expérimentales la correction, sur la densité des états, parait cependant peu judicieuse et un travail sur la densité des défauts aurait surement été plus logique. Des mesures expérimentales de conductivité en fonction de la température fait apparaitre des densités, en niveau piège, supérieures à 1020 cm-3 dans le pentacène. Ceci est bien supérieur à ce qui à été supposé pour la simulation. Cependant ces densités dépendent de l’état d’oxydation du pentacène et aussi des conditions de caractérisation (sous vide ou à l’air libre) ce qui explique les valeurs trouvée dans la littérature par les étudiants.
P25
CEMIP
Microscopie en champ proche : Scanning Capacitance Microscopy Isabelle Trimaille CEMIP – Pôle CNFM de Paris RESUME Le CEMIP sʼest équipé dʼun Microscope à Force Atomique Veeco, modèle diInnova, permettant de réaliser des mesures de capacitance (« Scanning Capacitance Microscopy », ou SCM). Cette technique de caractérisation électrique, basée sur lʼanalyse par microscopie en champ proche, permet le profilage 2D à haute résolution de dopants, la caractérisation de diélectriques minces sur semi-conducteurs, lʼanalyse de défauts dans les semiconducteurs. INTRODUCTION En 2007, un partenariat entre le CNFM et Veeco Instruments a permis lʼéquipement de plusieurs pôles en Microscopes à force atomique (Grenoble, Lille, Orsay, Rennes et Toulouse), de type Di-Caliber. Depuis, le CEMIP sʼest équipé à son tour dʼun microscope Veeco, modèle di-Innova. Ce microscope, qui permet la caractérisation par spectroscopie de capacitance, dispose en outre des modes contact, force electrostatique, potentiel de surface, nanolithographie. SCANNING CAPACITANCE MICROSCOPY La détection SCM est basée sur un circuit résonant haute fréquence. Lorsque que la pointe conductrice et résonante est mise en contact avec le dispositif à tester, lʼéchantillon, la pointe et sa ligne de transmission sʼintègrent au circuit résonant. Les variations de capacité de lʼensemble pointe-échantillon, induites par le signal alternatif de polarisation de lʼéchantillon, modifient la fréquence de résonance du système. De petites variations dans la fréquence de résonance se traduisent par de fortes variations dʼamplitude du signal mesuré en sortie (figure 1). Le signal obtenu est dC/dV en fontion de V (V est lʼamplitude du dignal de polarisation) (figure 2). La référence [1] offre une description plus détaillée de cette technique, ainsi que des autres techniques de caractérisation électriques basées sur la microscopie en champ proche.
Figure 1 : Variations du signal détecté.
P32
CEMIP
Figure 2 : Signal dC/dV pour des substrats de type n et p. APPLICATIONS Lʼanalyse SCM est utilisée pour le profilage 2D à haute résolution de dopants [2,3], la caractérisation de diélectriques minces sur semi-conducteurs [4], lʼanalyse de défauts dans les semiconducteurs [5]. Les possibilités dʼanalyses de lʼinstrument sont illustrées en figures 3 et 4. La figure 3 montre lʼimage topographique ainsi que le profil des porteurs obtenus sur un transistor MOSFET (analyse 2D) [2]. La figure 4 représente le signal dC/dV obtenu en en point. Cette analyse « single point » se substitue au C-V classique, pour lʼanalyse de diélectriques ultraminces.
Figure 3 : Image topographique (a) et images SCM dC/dV (b,c) de la section dʼun transistor MOSFET, dʼaprès [2].
P32
CEMIP
Figure 4 : Caractérisation dC/dV par microscopie en un point unique dʼun échantillon HfO2 (2,5 nm) sur Si-p 9x1018 ohm.cm.
Les signaux obtenus en SCM ne sont pas indépendants de la géométrie de la pointe AFM [4], ce qui rend lʼinterprétation et lʼanalyse quantitative délicates. PUBLIC CONCERNE Les TP mis en place à partir de la technique dʼanalyse SCM sont plus particulièrement destinés à des étudiants de Master, spécialités : électronique, nanomatériaux, nanosystèmes, par ailleurs formés aux analyses C-V classiques. REFERENCES [1] R.A. Oliver, Advances in AFM for the electrical characterization of semiconductors, Rep. Prog. Phys. 71 (2008) 076501. [2] Y. Naitou, H. Ogiso, S. Kamohara, F. Yano, A. Nishida, Study on channel depletion in metal-oxide-semiconductor field effect transistor using top-view imaging through scanning capacitance microscopy, Surface and Interface Analysis 41 (2009) 34. [3] K. Kimura, K. Kobayashi, K. Matsushige, K. Usuda, H. Yamada, Noncontact-mode scanning capacitance force microscopy towards quantitative two-dimensional carrier profiling on semiconductor devices, Applied Physics Letters 90 (2007) 083101. [4] O. Ligor, B. Gautier, A. Descamps-Mandine, D. Albertini, N. Baboux, L. Militaru, Interpretation of scanning capacitance microscopy for thin oxides characterization, Thin Solid Films 517 (2009) 6721. [5] N. Matsuki, R. Ishihara, A. Baiano, K. Beenakker, Investigation of local electrical properties of coincidence-site-lattice boundaries in location-controlled silicon islands using scanning capacitance microscopy, Applied Physics Letters, 93 (2008) 062102.
P32